首页> 外文会议>Dependable Computing Conference (EDCC), 2012 Ninth European >Memory Mapped SPM: Protecting Instruction Scratchpad Memory in Embedded Systems against Soft Errors
【24h】

Memory Mapped SPM: Protecting Instruction Scratchpad Memory in Embedded Systems against Soft Errors

机译:内存映射的SPM:保护嵌入式系统中的指令暂存器免受软错误的影响

获取原文
获取原文并翻译 | 示例

摘要

Predictability, energy consumption, area and reliability are the major concerns in embedded systems. Using scratchpad memories (SPMs) instead of cache memories play an increasing role to satisfy these concerns. Both cache and SPM as on-chip SRAM memories are highly vulnerable to soft errors and as they contain the most frequently used blocks of the program, their errors can easily propagate in system leading to erroneous results. Unlike the instruction cache, an error in the instruction SPM cannot be corrected using only parity bits by invalidating the erroneous line. This study suggests a low-cost mechanism to protect the instruction SPM against soft errors. The main idea underlying the proposed mechanism includes four stages: 1) to use parity codes for error detection in the SPM, 2) to keep an address matching table in the main memory to store the address of the copy of SPM blocks in the main memory, in the case of dynamic SPM, 3) to allocate a specific segment of the main memory as an SPM backup, in the case of static SPM, and 4) to recover from errors using an interrupt service routine (ISR). Compared with a single error correction /double error detection (SEC-DED) scheme, by using a 2-bit interleaved-parity per word, the proposed mechanism can correct at least three bit errors, while SEC-DED is capable of correcting only single bit error and detecting 2-bit errors. The experimental results reveal that the energy consumption and area overheads of the proposed mechanism are approximately 22% and 15% less than that of SEC-DED for a 4Kbyte SPM, respectively. Moreover, this mechanism provides 10 times lower performance loss compared with SEC-DED.
机译:可预测性,能耗,面积和可靠性是嵌入式系统的主要问题。使用暂存器(SPM)代替高速缓存存储器在满足这些问题方面起着越来越重要的作用。作为片上SRAM存储器的高速缓存和SPM都非常容易遭受软错误,并且由于它们包含程序中最常用的模块,因此它们的错误很容易在系统中传播,从而导致错误结果。与指令高速缓存不同,指令SPM中的错误无法通过使错误行无效而仅使用奇偶校验位来纠正。这项研究提出了一种低成本的机制来保护指令SPM免受软错误的影响。提议的机制所基于的主要思想包括四个阶段:1)在SPM中使用奇偶校验码进行错误检测,2)在主存储器中保留地址匹配表,以将SPM块副本的地址存储在主存储器中,对于动态SPM,则3)在静态SPM的情况下分配主存储器的特定段作为SPM备份,并且4)使用中断服务例程(ISR)从错误中恢复。与单错误纠正/双错误检测(SEC-DED)方案相比,通过每个字使用2位交错奇偶校验,该机制可以纠正至少三个位错误,而SEC-DED仅能够纠正单个错误。位错误和检测2位错误。实验结果表明,对于4 KB SPM,所提出的机制的能耗和面积开销分别比SEC-DED少22%和15%。此外,与SEC-DED相比,此机制的性能损失低10倍。

著录项

相似文献

  • 外文文献
  • 中文文献
  • 专利
获取原文

客服邮箱:kefu@zhangqiaokeyan.com

京公网安备:11010802029741号 ICP备案号:京ICP备15016152号-6 六维联合信息科技 (北京) 有限公司©版权所有
  • 客服微信

  • 服务号