首页> 外文会议>2019 56th ACM/IEEE Design Automation Conference >Lightweight Mitigation of Hardware Trojan Attacks in NoC-based Manycore Computing
【24h】

Lightweight Mitigation of Hardware Trojan Attacks in NoC-based Manycore Computing

机译:基于NoC的Manycore计算中的硬件木马攻击的轻量级缓解

获取原文
获取原文并翻译 | 示例

摘要

Data-snooping is a serious security threat in NoC fabrics that can lead to theft of sensitive information from applications executing on manycore processors. Hardware Trojans (HTs) covertly embedded in NoC components can carry out such snooping attacks. In this paper, we first describe a low-overhead snooping invalidation module (SIM) to prevent malicious data replication by HTs in NoCs. We then devise a snooping detection module (THANOS) to also detect malicious applications that utilize such HTs. Experimental analysis shows that unlike state-of-the-art mechanisms, SIM and THANOS not only mitigate snooping attacks but also improve NoC performance by 48.4% in the presence of these attacks, with a minimal $sim 2.15$% area and $sim 5.5$% power overhead.
机译:数据监听是NoC架构中的严重安全威胁,它可能导致许多核心处理器上执行的应用程序中的敏感信息被盗。隐藏在NoC组件中的硬件特洛伊木马(HT)可以进行这种侦听攻击。在本文中,我们首先描述了一种低开销的监听无效模块(SIM),以防止NoC中的HT恶意复制数据。然后,我们设计一个侦听检测模块(THANOS),以检测利用此类HT的恶意应用程序。实验分析表明,与最先进的机制不同,SIM和THANOS不仅可以缓解侦听攻击,而且在存在这些攻击的情况下,还能将NoC性能提高48.4%,同时最小化$ \\ sim 2.15 %%的面积和$ \\ sim 5.5 $%的电力开销。

著录项

相似文献

  • 外文文献
  • 中文文献
  • 专利
获取原文

客服邮箱:kefu@zhangqiaokeyan.com

京公网安备:11010802029741号 ICP备案号:京ICP备15016152号-6 六维联合信息科技 (北京) 有限公司©版权所有
  • 客服微信

  • 服务号