掌桥科研
一站式科研服务平台
学术工具
文档翻译
论文查重
文档转换
收录引用
科技查新
期刊封面封底
自科基金
外文数据库(机构版)
首页
成为会员
我要充值
退出
我的积分:
中文会员
开通
中文文献批量获取
外文会员
开通
外文文献批量获取
我的订单
会员中心
我的包量
我的余额
登录/注册
文献导航
中文期刊
>
中文会议
>
中文学位
>
中国专利
>
外文期刊
>
外文会议
>
外文学位
>
外国专利
>
外文OA文献
>
外文科技报告
>
中文图书
>
外文图书
>
工业技术
基础科学
医药卫生
农业科学
教科文艺
经济财政
社会科学
哲学政法
其他
工业技术
基础科学
医药卫生
农业科学
教科文艺
经济财政
社会科学
哲学政法
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
马克思主义、列宁主义、毛泽东思想、邓小平理论
哲学、宗教
社会科学总论
政治、法律
军事
经济
文化、科学、教育、体育
语言、文字
文学
艺术
历史、地理
自然科学总论
数理科学和化学
天文学、地球科学
生物科学
医药、卫生
农业科学
工业技术
交通运输
航空、航天
环境科学、安全科学
综合性图书
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
美国国防部AD报告
美国能源部DE报告
美国航空航天局NASA报告
美国商务部PB报告
外军国防科技报告
美国国防部
美国参联会主席指示
美国海军
美国空军
美国陆军
美国海军陆战队
美国国防技术信息中心(DTIC)
美军标
美国航空航天局(NASA)
战略与国际研究中心
美国国土安全数字图书馆
美国科学研究出版社
兰德公司
美国政府问责局
香港科技大学图书馆
美国海军研究生院图书馆
OALIB数据库
在线学术档案数据库
数字空间系统
剑桥大学机构知识库
欧洲核子研究中心机构库
美国密西根大学论文库
美国政府出版局(GPO)
加利福尼亚大学数字图书馆
美国国家学术出版社
美国国防大学出版社
美国能源部文献库
美国国防高级研究计划局
美国陆军协会
美国陆军研究实验室
英国空军
美国国家科学基金会
美国战略与国际研究中心-导弹威胁网
美国科学与国际安全研究所
法国国际关系战略研究院
法国国际关系研究所
国际宇航联合会
美国防务日报
国会研究处
美国海运司令部
北约
盟军快速反应部队
北约浅水行动卓越中心
北约盟军地面部队司令部
北约通信信息局
北约稳定政策卓越中心
美国国会研究服务处
美国国防预算办公室
美国陆军技术手册
一般OA
科技期刊论文
科技会议论文
图书
科技报告
科技专著
标准
其它
美国卫生研究院文献
分子生物学
神经科学
药学
外科
临床神经病学
肿瘤学
细胞生物学
遗传学
公共卫生&环境&职业病
应用微生物学
全科医学
免疫学
动物学
精神病学
兽医学
心血管
放射&核医学&医学影像学
儿科
医学进展
微生物学
护理学
生物学
牙科&口腔外科
毒理学
生理学
医院管理
妇产科学
病理学
生化技术
胃肠&肝脏病学
运动科学
心理学
营养学
血液学
泌尿科学&肾病学
生物医学工程
感染病
生物物理学
矫形
外周血管病
药物化学
皮肤病学
康复学
眼科学
行为科学
呼吸学
进化生物学
老年医学
耳鼻喉科学
发育生物学
寄生虫学
病毒学
医学实验室检查技术
生殖生物学
风湿病学
麻醉学
危重病护理
生物材料
移植
医学情报
其他学科
人类生活必需品
作业;运输
化学;冶金
纺织;造纸
固定建筑物
机械工程;照明;加热;武器;爆破
物理
电学
人类生活必需品
作业;运输
化学;冶金
纺织;造纸
固定建筑物
机械工程;照明;加热;武器;爆破
物理
电学
马克思主义、列宁主义、毛泽东思想、邓小平理论
哲学、宗教
社会科学总论
政治、法律
军事
经济
文化、科学、教育、体育
语言、文字
文学
艺术
历史、地理
自然科学总论
数理科学和化学
天文学、地球科学
生物科学
医药、卫生
农业科学
工业技术
交通运输
航空、航天
环境科学、安全科学
综合性图书
主题
主题
题名
作者
关键词
摘要
高级搜索 >
外文期刊
外文会议
外文学位
外国专利
外文图书
外文OA文献
中文期刊
中文会议
中文学位
中国专利
中文图书
外文科技报告
清除
历史搜索
清空历史
首页
>
外文会议
>
ACM/IEEE Design Automation Conference
ACM/IEEE Design Automation Conference
召开年:
2020
召开地:
San Francisco(US)
出版时间:
-
会议文集:
-
会议论文
热门论文
全部论文
全选(
0
)
清除
导出
1.
Process Variation Characterization of Chip-Level Multiprocessors
机译:
芯片级多处理器的过程变型表征
作者:
Lide Zhang
;
Lan S. Bai
;
Robert P. Dick
;
Li Shang
;
Russ Joseph
会议名称:
《ACM/IEEE Design Automation Conference》
|
2009年
关键词:
Performance and Reliability: Performance Analysis and Design Aids;
Design;
Verification;
Performance;
Process variation;
Characterization;
Software;
2.
Stochastic steady-state and AC analyses of mixed-signal systems
机译:
混合信号系统的随机稳态和交流分析
会议名称:
《ACM/IEEE Design Automation Conference》
|
2009年
关键词:
Markov processes;
differential equations;
mixed analogue-digital integrated circuits;
probability;
stochastic processes;
Gaussian decomposition;
Markov chain model;
adjoint sensitivity analysis;
first-order ordinary differential equation;
mixed-signal system;
phasor analysis;
probability distribution;
state discretization scheme;
state exploration algorithm;
state truncation algorithm;
stochastic AC analysis;
stochastic steady-state analysis;
Markov chains;
steady-state analysis;
stochastic systems;
3.
Hardware Authentication Leveraging Performance Limits in Detailed Simulations and Emulations
机译:
在详细的模拟和仿真中利用性能限制的硬件身份验证
作者:
Daniel Y. Deng
;
Andrew H. Chan
;
G. Edward Suh
会议名称:
《ACM/IEEE Design Automation Conference》
|
2009年
关键词:
Special-Purpose and Application-Based Systems;
Design;
Security;
Hardware authentication;
Secure processors;
4.
Worst-case aggressor-victim alignment with current-source driver models
机译:
最坏的accorlsor-受害者与当前源驱动器模型对齐
会议名称:
《ACM/IEEE Design Automation Conference》
|
2009年
关键词:
crosstalk;
driver circuits;
integrated circuit design;
HSPICE simulations;
crosstalk delay-noise;
cumulative gate overdrive voltage metric;
current-source driver models;
maximum delay-noise;
static noise analysis;
worst-case aggressor-victim alignment;
CSM;
delay noise;
5.
Predicting variability in nanoscale lithography processes
机译:
预测纳米级光刻过程中的变异性
会议名称:
《ACM/IEEE Design Automation Conference》
|
2009年
关键词:
electronic engineering computing;
integrated circuit layout;
learning (artificial intelligence);
nanolithography;
support vector machines;
integrated circuits;
large scale full chip analysis;
layout patterns;
lithography simulations;
machine learning techniques;
nanoscale lithography processes;
support vector machine algorithms;
target layout representation;
Kernel Methods;
Machine Learning;
Modeling Variability;
Photo Lithography;
Process Variation;
6.
Misleading Performance Claims in Parallel Computations
机译:
在并行计算中误导性能索赔
作者:
David H. Bailey
会议名称:
《ACM/IEEE Design Automation Conference》
|
2009年
关键词:
Software Engineering: Metrics - performance measures;
Performance;
Parallel computing;
7.
A correct network flow model for escape routing
机译:
逃生路由的正确网络流模型
会议名称:
《ACM/IEEE Design Automation Conference》
|
2009年
关键词:
network routing;
printed circuit design;
PCB design;
diagonal capacity;
escape routing;
missing pins handling;
network flow model;
optimal algorithm;
package routing;
PCB routing;
missing pin;
network flow;
8.
Improving STT MRAM storage density through smaller-than-worst-case transistor sizing
机译:
通过小于最差的晶体管尺寸提高STT MRAM存储密度
会议名称:
《ACM/IEEE Design Automation Conference》
|
2009年
关键词:
MOSFET;
MRAM devices;
STT MRAM storage density;
current threshold variability;
magnetic tunneling junction;
magnetoresistive random access memory;
memory cell transistor sizing;
nMOS transistor;
size 45 nm;
smaller-than-worst-case transistor sizing;
spin-torque transfer;
variability-induced storage density penalty;
STT MRAM;
defect tolerance;
transistor sizing;
9.
Beyond Verification: Leveraging Formal for Debugging
机译:
超越验证:利用正式进行调试
作者:
Rajeev K. Ranjan
;
Claudionor Coelho
;
Sebastian Skalberg
会议名称:
《ACM/IEEE Design Automation Conference》
|
2009年
关键词:
Register-transfer-level Implementation: Design aids - verification;
Algorithms;
Design;
Verification;
Formal verification;
Debugging;
Behavioral indexing;
Property verification;
Post-silicon debugging;
Traceless debugging;
10.
Regression verification
机译:
回归验证
会议名称:
《ACM/IEEE Design Automation Conference》
|
2009年
关键词:
formal specification;
formal verification;
functional verification;
program proving;
program specification;
regression verification;
Equivalence checking;
Software verification;
11.
Trace-driven workload simulation method for Multiprocessor System-On-Chips
机译:
多处理器系统上的追踪工作负载仿真方法
会议名称:
《ACM/IEEE Design Automation Conference》
|
2009年
关键词:
embedded systems;
instruction sets;
system-on-chip;
MPSoC architecture;
embedded system;
instruction-set simulator;
multiprocessor system-on-chip;
program execution;
trace-driven workload simulation method;
MPSoC Architecture Exploration;
Performance Estimation;
Simulation;
Workload Model;
12.
Matching-based minimum-cost spare cell selection for design changes
机译:
基于匹配的最小成本备用单元选择设计变更
会议名称:
《ACM/IEEE Design Automation Conference》
|
2009年
关键词:
design engineering;
integrated circuit design;
logic design;
masks;
network routing;
engineering change order;
matching based ECO synthesizer;
metal layer photomasks;
spare cell selection;
ECO;
matching;
physical synthesis;
resynthesis;
spare cells;
13.
Context-Sensitive Timing Analysis of Esterel Programs
机译:
Esterel程序的上下文敏感时间分析
作者:
Lei Ju
;
Bach Khoa Huynh
;
Samarjit Chakraborty
;
Abhik Roychoudhury
会议名称:
《ACM/IEEE Design Automation Conference》
|
2009年
关键词:
SPECIAL-PURPOSE AND APPLICATION-BASED SYSTEMS: Real-time and embedded systems;
Design;
Languages;
Performance;
Esterel;
Synchronous programming;
Worst-case Execution Time (WCET) analysis;
14.
A Voltage-Scalable Process Variation Resilient Hybrid SRAM Architecture for MPEG-4 Video Processors
机译:
用于MPEG-4视频处理器的电压可扩展和过程变化弹性混合SRAM架构
作者:
Ik Joon Chang
;
Debabrata Mohapatra
;
Kaushik Roy
会议名称:
《ACM/IEEE Design Automation Conference》
|
2009年
关键词:
Semiconductor Memories: Static memory (SRAM);
Design;
Low power SRAM;
Graceful degradation;
Supply voltage over-scaling;
15.
Beyond innovation: Dealing with the risks and complexity of processor design in 22nm
机译:
超越创新:处理22nm的处理器设计的风险和复杂性
会议名称:
《ACM/IEEE Design Automation Conference》
|
2009年
关键词:
VLSI;
logic design;
microprocessor chips;
VLSI technology;
high-performance microprocessor design;
size 22 nm;
Microprocessor design;
16.
Debugging strategies for mere mortals
机译:
调试凡人的策略
会议名称:
《ACM/IEEE Design Automation Conference》
|
2009年
关键词:
electronic design automation;
logic testing;
program debugging;
program diagnostics;
program verification;
bug diagnosis;
debugging strategy;
design verification;
functional error detection automation;
mere mortal;
Error correction;
Error diagnosis;
Validation;
17.
Untwist your brain - Efficient debugging and diagnosis of complex assertions
机译:
Untwist您的脑高效调试和诊断复杂断言
会议名称:
《ACM/IEEE Design Automation Conference》
|
2009年
关键词:
program debugging;
program diagnostics;
program verification;
programming;
SystemVerilog Assertions;
assertion debugging;
assertion diagnosis;
complex assertion;
functional verification;
Assertions;
Debugging;
Fault Localization;
Root Cause Analysis;
18.
On systematic illegal state identification for pseudo-functional testing
机译:
论伪函数测试的系统非法状态识别
会议名称:
《ACM/IEEE Design Automation Conference》
|
2009年
关键词:
design for testability;
integrated circuit testing;
semiconductor device manufacture;
design-for-testability circuits;
integrated circuits activities;
pattern generation process;
pseudofunctional testing;
semiconductor industry;
systematic illegal state identification;
Illegal States;
Pseudo-Functional Testing;
19.
Soft Error Optimization of Standard Cell Circuits Based on Gate Sizing and Multi-objective Genetic Algorithm
机译:
基于栅极尺寸和多目标遗传算法的标准电池电路软误差优化
作者:
Weiguang Sheng
;
Liyi Xiao
;
Zhigang Mao
会议名称:
《ACM/IEEE Design Automation Conference》
|
2009年
关键词:
Performance and Reliability: Reliability, Testing, and Fault-Tolerance;
Optimization: Global optimization;
Reliability;
Multi-objective;
Genetic algorithm;
Soft error;
Optimization;
20.
Speculation in Elastic Systems
机译:
弹性系统的猜测
会议名称:
《ACM/IEEE Design Automation Conference》
|
2009年
关键词:
microprocessor chips;
pipeline processing;
elastic systems;
microprocessor pipelines;
synthesis flow;
Elastic designs;
protocols;
speculation;
synthesis;
21.
Improving Testability and Soft-Error Resilience through Retiming
机译:
通过重度提高可测试性和软错误弹性
作者:
Smita Krishnaswamy
;
Igor L. Markov
;
John P. Hayes
会议名称:
《ACM/IEEE Design Automation Conference》
|
2009年
关键词:
Logic Design Reliability and Testing - Redundant Design;
Testability;
Algorithms;
Design;
Reliability;
Testability;
Soft Errors;
Retiming;
22.
SRAM Parametric Failure Analysis
机译:
SRAM参数故障分析
作者:
Jian Wang
;
Soner Yaldiz
;
Xin Li
;
Lawrence T. Pileggi
会议名称:
《ACM/IEEE Design Automation Conference》
|
2009年
关键词:
Performance and Reliability: Performance Analysis and Design Aids;
Algorithms;
Reliability;
SRAM;
Parametric Failure;
Failure Probability Estimation;
Response Surface Model;
23.
Variational Capacitance Extraction of On-Chip Interconnects Based on Continuous Surface Model
机译:
基于连续表面模型的片上互连的变分电容提取
作者:
Wenjian Yu
;
Chao Hu
;
Wangyang Zhang
会议名称:
《ACM/IEEE Design Automation Conference》
|
2009年
关键词:
COMPUTER-AIDED ENGINEERING: Computer-aided design (CAD);
Computing Methodologies: Simulation and Modeling;
Algorithms;
Theory;
Design;
Geometric variation modeling;
Hermite polynomial chaos method;
Quadratic variation model;
Spatial correlation;
24.
Hierarchical Reconfigurable Computing Arrays For Efficient CGRA-based Embedded Systems
机译:
用于高效基于CGRA的嵌入式系统的分层可重新配置计算阵列
作者:
Yoonjin Kim
;
Rabi N. Mahapatra
会议名称:
《ACM/IEEE Design Automation Conference》
|
2009年
关键词:
Special-Purpose and Application-Based Systems: Microprocessor and microcomputer applications;
Real-time and embedded systems;
Signal processing systems;
Algorithms;
Design;
Performance;
Experimentation;
Verification;
Embedded Systems;
25.
Soft error optimization of standard cell circuits based on gate sizing and multi-objective genetic algorithm
机译:
基于栅极尺寸和多目标遗传算法的标准电池电路软误差优化
会议名称:
《ACM/IEEE Design Automation Conference》
|
2009年
关键词:
computational complexity;
genetic algorithms;
integrated circuit reliability;
integrated circuit testing;
logic circuits;
logic gates;
NP-Complete problem;
fast fitness evaluation algorithms;
gate sizing;
global design space;
multiobjective genetic algorithm;
radiation harden technique;
sequential logic circuit;
soft error optimization;
soft error rate;
soft error tolerance integrated circuits;
standard cell circuits;
syntax analysis technique;
genetic algorithm;
multi-objective;
optimization;
soft error;
26.
A false-path aware Formal Static Timing Analyzer considering simultaneous input transitions
机译:
考虑同时输入转换的假路程意识正式静态定时分析器
会议名称:
《ACM/IEEE Design Automation Conference》
|
2009年
关键词:
VLSI;
computability;
performance evaluation;
timing circuits;
VLSI design flow;
false-path aware formal static timing analyzer;
formal static timing analysis technique;
multiple-input-transitioning effects;
silicon failure;
simultaneous input transitions;
specialized multiple-input-transition timing library;
state-of-the-art Boolean satisfiability solver;
timing closure;
Critical path selection;
false path;
formal method;
multiple input transitioning;
static timing analysis;
27.
Efficient SAT Solving for Non-Clausal Formulas Using DPLL, Graphs, and Watched Cuts
机译:
使用DPLL,图表和观看的剪切有效地坐着非基金公式的求解
作者:
Himanshu Jain
;
Edmund M. Clarke
会议名称:
《ACM/IEEE Design Automation Conference》
|
2009年
关键词:
Computer Aided Engineering: Computer-Aided Design;
Algorithms;
Design;
Verification;
Boolean Satisfiability;
Verification;
DPLL;
NNF;
28.
Hardware Trojan Horse Detection Using Gate-Level Characterization
机译:
五金木马检测使用门级特征
作者:
Miodrag Potkonjak
;
Ani Nahapetian
;
Michael Nelson
;
Tammara Massey
会议名称:
《ACM/IEEE Design Automation Conference》
|
2009年
关键词:
Hardware: Integrated Circuits - Miscellaneous;
Experimentation;
Security;
Hardware Trojan horses;
Gate-level characterization;
Linear programming;
Manufacturing variability;
29.
Energy-aware Error Control Coding for Flash Memories
机译:
闪存的能量感知错误控制编码
作者:
Veera Papirla
;
Chaitali Chakrabarti
会议名称:
《ACM/IEEE Design Automation Conference》
|
2009年
关键词:
Memory Structures: Mass storage;
Performance of Systems: Fault tolerance;
Algorithms;
Design;
Performance;
Flash memories;
Error Control Coding;
Low-power design;
Endurance;
30.
PDRAM: A Hybrid PRAM and DRAM Main Memory System
机译:
PDRAM:混合PRAM和DRAM主存储器系统
作者:
Gaurav Dhiman
;
Raid Ayoub
;
Tajana Rosing
会议名称:
《ACM/IEEE Design Automation Conference》
|
2009年
关键词:
Integrated Circuits: Memory Technologies;
Design;
Experimentation;
Performance;
Phase Change Memory;
Energy Efficiency;
Memory management;
31.
Selective wordline voltage boosting for caches to manage yield under process variations
机译:
用于缓存的选择性字线电压升压,以管理过程变化下的收益
会议名称:
《ACM/IEEE Design Automation Conference》
|
2009年
关键词:
cache storage;
power aware computing;
caches;
high performance processor architecture;
process variations;
selective wordline voltage boosting;
technology scaling;
Access Time Failure;
Cache;
Yield;
32.
Efficient program scheduling for heterogeneous multi-core processors
机译:
异构多核处理器的高效计划调度
会议名称:
《ACM/IEEE Design Automation Conference》
|
2009年
关键词:
microprocessor chips;
heterogeneous multicore processors;
multidimensional space;
program scheduling;
weighted Euclidean distance;
Energy-Delay Product;
Heterogeneous Multi-core;
33.
Context-sensitive timing analysis of Esterel programs
机译:
Esterel程序的上下文敏感时间分析
会议名称:
《ACM/IEEE Design Automation Conference》
|
2009年
关键词:
C language;
sequential codes;
software performance evaluation;
timing;
Esterel program;
Esterel specification;
WCET analysis;
clock tick;
context-sensitive timing analysis;
cost-sensitive application;
program level context information;
program-level context;
response time;
sequential C code;
synchronous language;
timing analysis;
timing estimate;
worst-case execution time analysis;
Esterel;
Synchronous programming;
Worst-case Execution Time (WCET) analysis;
34.
Low power gated bus synthesis using shortest-path Steiner graph for system-on-chip communications
机译:
低功耗门控总线合成使用最短路径施坦纳图进行系统片上通信
会议名称:
《ACM/IEEE Design Automation Conference》
|
2009年
关键词:
power consumption;
system-on-chip;
demultiplexers;
low power design technique;
low power gated bus synthesis;
shortest-path Steiner graph;
system-on-chip communications;
Gated bus;
Steiner graph;
power efficiency;
35.
ARMS - Automatic residue-minimization based sampling for multi-point modeling techniques
机译:
基于多点建模技术的基于自动残留 - 基于样的抽样
会议名称:
《ACM/IEEE Design Automation Conference》
|
2009年
关键词:
electronic design automation;
iterative methods;
minimisation;
transfer functions;
automatic residue-minimization based sampling;
dimension maximization;
integrated algorithm;
iterative selection;
multidimensional sampling;
multipoint modeling techniques;
order selection;
parametric model order reduction;
sample point selection optimization;
transfer function error estimation;
Model Order Reduction;
Multi-Dimensional Parametric Sampling;
36.
The semiconductor industry's Nanoelectronics Research Initiative: Motivation and challenges
机译:
半导体行业的纳米电子研究倡议:动机和挑战
会议名称:
《ACM/IEEE Design Automation Conference》
|
2009年
关键词:
CMOS integrated circuits;
integrated circuit manufacture;
nanoelectronics;
research initiatives;
CMOS technology;
NRI;
Nanoelectronics Research Initiative;
charge-switching based devices;
complimentary metal oxide semiconductor;
semiconductor industry;
logic transistors;
research consortium;
37.
Contract-Based System-Level Composition of Analog Circuits
机译:
基于合同的模拟电路系统级组成
作者:
Xuening Sun
;
Pierluigi Nuzzo
;
Chang-Ching Wu
;
Alberto Sangiovanni-Vincentelli
会议名称:
《ACM/IEEE Design Automation Conference》
|
2009年
关键词:
Integrated Circuits: Design Aids;
Design;
Reliability;
Theory;
Analog;
Platform;
Composition;
Contract;
Assume-guarantee;
Platform-based design;
UWB;
Radio-frequency;
System;
Integration;
38.
Thermal-driven analog placement considering device matching
机译:
考虑设备匹配的热驱动模拟放置
会议名称:
《ACM/IEEE Design Automation Conference》
|
2009年
关键词:
analogue integrated circuits;
microprocessor chips;
mixed analogue-digital integrated circuits;
power aware computing;
radiofrequency integrated circuits;
thermal management (packaging);
common-centroid constraint satisfaction;
symmetry satisfaction;
thermal-driven analog placement methodology;
thermally sensitive device matching;
Analog placement;
thermal matching;
39.
Mode grouping for more effective generalized scheduling of dynamic dataflow applications
机译:
用于更有效的动态数据流应用程序的模式分组
会议名称:
《ACM/IEEE Design Automation Conference》
|
2009年
关键词:
data flow graphs;
software architecture;
digital signal processing systems;
dynamic dataflow applications;
dynamic dataflow graph;
high-level software architectures;
mode grouping;
static dataflow graphs;
dataflow;
scheduling;
40.
Heterogeneous code cache: Using scratchpad and main memory in dynamic binary translators
机译:
异构代码缓存:在动态二进制翻译器中使用Scratchpad和主内存
会议名称:
《ACM/IEEE Design Automation Conference》
|
2009年
关键词:
cache storage;
embedded systems;
program interpreters;
storage allocation;
dynamic binary translator;
embedded system;
heterogeneous code cache management;
main memory;
scratchpad memory;
software-managed code cache;
Dynamic Binary Translation;
Scratchpad;
Software Caching;
41.
Information hiding for trusted system design
机译:
隐藏可信系统设计的信息
会议名称:
《ACM/IEEE Design Automation Conference》
|
2009年
关键词:
VLSI;
graph colouring;
industrial property;
integrated circuit design;
integrated circuit testing;
security of data;
IC testing method;
VLSI design intellectual property;
computing system;
constraint manipulation;
data security;
design quality measuring problem;
graph coloring problem;
information hiding;
system design specification;
trusted IC system design;
verification method;
Trusted IC;
graph coloring;
42.
Nanoscale digital computation through percolation
机译:
纳米级数字计算通过渗透
会议名称:
《ACM/IEEE Design Automation Conference》
|
2009年
关键词:
Boolean functions;
interconnections;
percolation;
Boolean functionality;
defect tolerance;
digital computation;
error margin;
nanoscale digital computation;
nanoscale lattice;
nonlinearity steepness;
percolation theory;
random graph;
random interconnect;
synthesis technique;
Logic Synthesis;
43.
Event-driven gate-level simulation with GP-GPUs
机译:
具有GP-GPU的事件驱动的门级仿真
会议名称:
《ACM/IEEE Design Automation Conference》
|
2009年
关键词:
coprocessors;
logic simulation;
parallel processing;
gate level description;
gate level event driven design;
graphics processing unit;
hardware development;
high level description;
parallel GPGPU host;
tool flow design;
Gate-level simulation;
General Purpose Graphics Processing Unit(GP-GPU);
High-performance simulation;
44.
Evaluating design trade-offs in customizable processors
机译:
评估可定制处理器中的设计权衡
会议名称:
《ACM/IEEE Design Automation Conference》
|
2009年
关键词:
approximation theory;
computational complexity;
embedded systems;
integrated circuit design;
microprocessor chips;
optimisation;
customizable processors;
polynomial-time approximation algorithm;
single criteria optimization;
ASIP;
Multi-objective design space exploration;
Pareto-optimal curve;
Processor customization;
45.
EDA in flux - Should I stay or should I go?
机译:
牛奶束 - 我应该留下还是应该去?
会议名称:
《ACM/IEEE Design Automation Conference》
|
2009年
关键词:
electronic design automation;
electronics industry;
employment;
human resource management;
professional aspects;
EDA evolution;
EDA historical perspective;
EDA previous recession;
career development;
career evolution;
crisis;
electronics market;
job situation;
professional interest;
EDA future;
EDA research;
Electronic Markets;
Recession;
46.
A robust and efficient harmonic balance (HB) using direct solution of HB Jacobian
机译:
使用HB Jacobian的直接解决方案稳健而有效的谐波平衡(HB)
会议名称:
《ACM/IEEE Design Automation Conference》
|
2009年
关键词:
Fourier analysis;
Jacobian matrices;
harmonic analysis;
nonlinear network analysis;
Fourier envelope analysis;
HB;
direct solver;
harmonic balance Jacobian;
harmonic balance analysis;
harmonic balance matrix;
memory-speed trade-off;
nonlinear circuits;
preconditioned iterative solver;
Harmonic Balance;
Preconditioning;
Simulation;
47.
Serial reconfigurable mismatch-tolerant clock distribution
机译:
串行可重构不匹配的不匹配时钟分布
会议名称:
《ACM/IEEE Design Automation Conference》
|
2009年
关键词:
clocks;
network routing;
clock skew;
intra-die temperature compensation;
layout independence;
modular standard cell approach;
post-silicon resizing;
serial clock network;
Clock networks;
process variation;
48.
Debugging from High Level down to Gate Level
机译:
从高电平降至门级
作者:
Masahiro Fujita
;
Yoshihisa Kojima
;
Amir Masoud Gharehbaghi
会议名称:
《ACM/IEEE Design Automation Conference》
|
2009年
关键词:
Hardware: Integrated Circuits - Design Aids;
Algorithms;
Verification;
Design;
High-Level Design;
System Level Design;
Dependence Analysis;
Equivalence Checking;
Post-Silicon Debug;
49.
A parameterized mask model for lithography simulation
机译:
光刻仿真的参数化掩模模型
会议名称:
《ACM/IEEE Design Automation Conference》
|
2009年
关键词:
Helmholtz equations;
electronic engineering computing;
finite element analysis;
learning (artificial intelligence);
lithography;
FEM matrix;
Helmholtz equation;
finite element discretization;
kernel method;
lithography simulation;
machine learning;
parameterized mask model;
parametric model order reduction problem;
Mask Model;
Parameterized Model Order Reduction;
50.
Human computing for EDA
机译:
人力计算为eda
会议名称:
《ACM/IEEE Design Automation Conference》
|
2009年
关键词:
computability;
computational complexity;
computer games;
electronic design automation;
image recognition;
FunSAT multiplayer puzzle game;
NP-hard EDA;
abstract perception;
human computing;
humans strategy skill;
satisfiability;
visual pattern recognition skills;
51.
Thermal-driven Analog Placement Considering Device Matching
机译:
考虑设备匹配的热驱动模拟放置
作者:
Po-Hung Lin
;
Hongbo Zhang
;
Martin D. F. Wong
;
Yao-Wen Chang
会议名称:
《ACM/IEEE Design Automation Conference》
|
2009年
关键词:
Integrated Circuits: Design Aids - Layout;
Placement and Routing;
Algorithms;
Design;
Reliability Analog placement;
Thermal matching;
52.
RegPlace: A high quality open-source placement framework for structured ASICs
机译:
RegPlace:结构化ASIC的高质量开源放置框架
会议名称:
《ACM/IEEE Design Automation Conference》
|
2009年
关键词:
application specific integrated circuits;
integer programming;
integrated circuit design;
linear programming;
FPGA design;
NRE;
RegPlace high quality open-source placement framework;
application specific integrated circuit;
integer linear program;
intermediate virtual platform;
network flow formulation;
nonrecurring engineering;
structured ASIC design;
FPGA;
Global Placement;
Placement;
Regular ASIC;
Structured ASIC;
legalization;
53.
FPGA-Targeted High-Level Binding Algorithm for Power and Area Reduction with Glitch-Estimation
机译:
FPGA针对具有毛刺估计的功率和面积减少的高级绑定算法
作者:
Scott Cromar
;
Jaeho Lee
;
Deming Chen
会议名称:
《ACM/IEEE Design Automation Conference》
|
2009年
关键词:
Hardware: Design Aids - optimization;
Algorithms;
Design;
Measurement;
Performance;
FPGA;
High-level synthesis;
Glitch power;
Power reduction;
54.
A commitment-based management strategy for the performance and reliability enhancement of flash-memory storage systems
机译:
基于承诺的闪存存储系统性能和可靠性的管理策略
会议名称:
《ACM/IEEE Design Automation Conference》
|
2009年
关键词:
flash memories;
random-access storage;
reliability theory;
storage management chips;
RAM usage;
adaptive block mapping mechanism;
address translation process;
commitment-based management strategy;
flash memory technology;
flash-memory storage systems;
multilevel-cell flash memory chips;
multiple chips;
performance enhancement;
reliability enhancement;
three-level address translation architecture;
write constraints;
Secondary storage;
embedded systems;
flash memory;
performance;
reliability;
55.
A DVS-based Pipelined Reconfigurable Instruction Memory
机译:
基于DVS的流水线可重新配置指令存储器
作者:
Zhiguo Ge
;
Tulika Mitra
;
Weng-Fai Wong
会议名称:
《ACM/IEEE Design Automation Conference》
|
2009年
关键词:
Design Styles: Cache memories - Memory structures;
Algorithm;
Design;
Performance;
Instruction cache;
Low power;
Reconfigurable memory;
56.
Optimum LDPC Decoder: A Memory Architecture Problem
机译:
最佳LDPC解码器:内存架构问题
作者:
Erick Amador
;
Renaud Pacalet
;
Vincent Rezard
会议名称:
《ACM/IEEE Design Automation Conference》
|
2009年
关键词:
Memory Structures: Design Styles;
Algorithm;
Design;
LDPC codes;
Low power architectures;
Memory optimization;
57.
A computing origami: Folding streams in FPGAs
机译:
计算折纸:FPGA中的折叠流
会议名称:
《ACM/IEEE Design Automation Conference》
|
2009年
关键词:
field programmable gate arrays;
logic design;
FPGA;
Internet;
multimedia;
origami computing;
span telecommunication;
stream graph;
stream processing;
Latency;
Streaming;
Throughput;
58.
Simulation and SAT-based Boolean matching for large Boolean networks
机译:
大型布尔网络的仿真和基于SAT的布尔匹配
会议名称:
《ACM/IEEE Design Automation Conference》
|
2009年
关键词:
Boolean functions;
computability;
logic circuits;
logic simulation;
Boolean satisfiability technique;
SAT-based boolean matching;
boolean network;
checking method;
input permutation;
input vector generation;
input/output phase assignment;
logic circuit;
logic simulation technique;
searching space;
Boolean Matching;
Simulation and SAT;
59.
Guess, Solder, Measure, Repeat - How do I get my mixed-signal chip right?
机译:
猜猜,焊接,衡量,重复 - 如何让我的混合信号芯片右?
作者:
Geoffrey Ying
;
Andreas Kuehlmann
;
Ken Kundert
;
Martin OLeary
;
George Gielen
;
Sandeep Tare
;
Eric Grimme
;
Warren Wong
会议名称:
《ACM/IEEE Design Automation Conference》
|
2009年
关键词:
Hardware;
Integrated Circuit;
Design Aids;
Performance;
Design;
Verification;
Mixed-signal Verification;
Functional Verification;
Performance Verification;
Low Power Verification;
Analog Behavioral Modeling;
SPICE;
Verilog;
Verilog-AMS;
VHDL;
60.
The end of denial architecture and the rise of throughput computing
机译:
拒绝架构的结束和吞吐量计算的兴起
会议名称:
《ACM/IEEE Design Automation Conference》
|
2009年
61.
A Parameterized Mask Model for Lithography Simulation
机译:
光刻仿真的参数化掩模模型
作者:
Zhenhai Zhu
会议名称:
《ACM/IEEE Design Automation Conference》
|
2009年
关键词:
Integrated Circuits: Design Aides - Simulation;
Algorithms;
Performance;
Design;
Lithography;
Mask Model;
Parameterized Model Order Reduction;
62.
A parametric approach for handling local variation effects in timing analysis
机译:
处理定时分析中局部变异效应的参数方法
会议名称:
《ACM/IEEE Design Automation Conference》
|
2009年
关键词:
integrated circuit design;
integrated circuit interconnections;
system-on-chip;
POCV;
integrated circuit interconnection;
local variation effect handling;
parametric-on-chip variation analysis;
parasitic variation;
relative delay;
statistical RC extraction;
statistical library characterization;
timing analysis;
On Chip Variation (OCV);
Timing;
parametric analysis;
63.
Technology-driven limits on DVFS controllability of multiple voltage-frequency island designs: A system-level perspective
机译:
多电压频率岛设计的DVFS可控性的技术驱动限制:系统级视角
会议名称:
《ACM/IEEE Design Automation Conference》
|
2009年
关键词:
controllability;
network-on-chip;
power aware computing;
power control;
DVFS controllability;
dynamic voltage and frequency scaling;
multiple processor systems on chip;
multiple voltage-frequency island design;
system power dissipation control;
Networks-on-chip;
performance bounds;
power management;
64.
A Commitment-based Management Strategy for the Performance and Reliability Enhancement of Flash-memory Storage Systems
机译:
基于承诺的闪存存储系统性能和可靠性的管理策略
作者:
Yuan-Hao Chang
;
Tei-Wei Kuo
会议名称:
《ACM/IEEE Design Automation Conference》
|
2009年
关键词:
Operating Systems: Storage Management - Secondary storage;
Operating Systems: Organization and Design - Real-Time Systems and Embedded Systems;
Design;
Experimentation;
Management;
Measurement;
Performance;
Reliability;
Secondary storage;
65.
NoC Topology Synthesis for Supporting Shutdown of Voltage Islands in SoCs
机译:
NOC拓扑合成,用于支持SOC中的电压岛关闭
作者:
Ciprian Seiculescu
;
Srinivasan Murali
;
Luca Benini
;
Giovanni De Micheli
会议名称:
《ACM/IEEE Design Automation Conference》
|
2009年
关键词:
INPUT/OUTPUT AND DATA COMMUNICATIONS: Interconnections (Subsystems) - topology;
Design;
NoC;
Voltage islands;
Shutdown;
Leakage power;
Topology;
66.
A real-time program trace compressor utilizing double move-to-front method
机译:
利用双重移动到前部方法的实时程序跟踪压缩机
会议名称:
《ACM/IEEE Design Automation Conference》
|
2009年
关键词:
embedded systems;
logic gates;
program debugging;
system-on-chip;
embedded systems-on-a- chip;
hardware implementation;
real-time program;
trace compressor;
Compression;
Debugging;
Program Trace;
67.
Retiming and recycling for elastic systems with early evaluation
机译:
利用早期评估的弹性系统重度和回收
会议名称:
《ACM/IEEE Design Automation Conference》
|
2009年
关键词:
integer programming;
linear programming;
performance evaluation;
latency-insensitive systems;
min-delay retiming configurations;
mixed integer linear programming;
performance optimization;
synchronous elastic systems;
Elastic systems;
early evaluation;
optimization;
68.
Simultaneous clock buffer sizing and polarity assignment for power/ground noise minimization
机译:
同时的时钟缓冲器尺寸和极性分配的功率/地面噪声最小化
会议名称:
《ACM/IEEE Design Automation Conference》
|
2009年
关键词:
buffer circuits;
circuit noise;
clocks;
clock skew constraint;
clock tree synthesis;
ground noise minimization;
polarity assignment;
power noise minimization;
simultaneous clock buffer sizing;
Clock synthesis;
buffer insertion;
power/ground noise;
69.
Fault Models for Embedded-DRAM Macros
机译:
嵌入式DRAM宏的故障模型
作者:
Mango C. T. Chao
;
Hao-Yu Yang
;
Rei-Fu Huang
;
Shih-Chin Lin
;
Ching-Yu Chin
会议名称:
《ACM/IEEE Design Automation Conference》
|
2009年
关键词:
Hardware: Reliability, Testing, and Fault-Tolerance;
Design;
Memory testing;
Embedded DRAM;
70.
Speedpath analysis based on hypothesis pruning and ranking
机译:
基于假设修剪和排名的速度路径分析
会议名称:
《ACM/IEEE Design Automation Conference》
|
2009年
关键词:
data mining;
microprocessor chips;
hypothesis pruning;
silicon chips;
silicon speedpaths;
speed limiting paths;
speedpath analysis;
timing tools;
Speedpath;
Timing Analysis;
71.
Statistical Reliability Analysis Under Process Variation and Aging Effects
机译:
过程变异和老化效应下的统计可靠性分析
作者:
Yinghai Lu
;
Li Shang
;
Hai Zhou
;
Hengliang Zhu
;
Fan Yang
;
Xuan Zeng
会议名称:
《ACM/IEEE Design Automation Conference》
|
2009年
关键词:
Computer-Aided Engineering: Computer-Aided Design;
Performance and Reliability: Reliability, Testing, and Fault-Tolerance;
Design;
Algorithms;
Performance;
NBTI;
Yield;
Process variations;
72.
Hierarchical Architecture of Flash-based Storage Systems for High Performance and Durability
机译:
基于闪存的存储系统的分层体系结构,具有高性能和耐用性
作者:
Sanghyuk Jung
;
Jin Hyuk Kim
;
Yong Ho Song
会议名称:
《ACM/IEEE Design Automation Conference》
|
2009年
关键词:
Special-purpose and Application based Systems, Real-time and embedded systems;
Operating Systems: Storage Management: Secondary storage;
Algorithms;
Design;
Management;
Performance;
Storage Systems;
73.
Statistical reliability analysis under process variation and aging effects
机译:
过程变异和老化效应下的统计可靠性分析
会议名称:
《ACM/IEEE Design Automation Conference》
|
2009年
关键词:
integrated circuit reliability;
nanofabrication;
statistical analysis;
aging effect;
circuit timing;
fabrication-induced process variation;
iterative design flow;
nanometer-scale integrated circuit lifetime reliability;
optimization;
run-time aging effect;
statistical reliability analysis;
NBTI;
Process variations;
Yield;
74.
Proceedings of the 46th design automation conference#x00AE;
机译:
第46个设计自动化会议的载体® sup>
会议名称:
《ACM/IEEE Design Automation Conference》
|
2009年
关键词:
electronic design automation;
memory architecture;
microprocessor chips;
nanoelectronics;
program verification;
software engineering;
embedded systems;
field programmable gate array;
microprocessor chip design;
multicore processors;
nanocircuits;
network routing;
processor architecture;
software development;
statistical timing analysis;
verification methods;
75.
Constraints in one-to-many concretization for abstraction refinement
机译:
抽象细化的一对多混凝土中的限制
会议名称:
《ACM/IEEE Design Automation Conference》
|
2009年
关键词:
formal verification;
abstraction refinement;
invisible state variable;
model checking;
one-to-many concretization;
pseudorandom generation;
simulation;
76.
Debugging Strategies for Mere Mortals
机译:
调试凡人的策略
作者:
Valeria Bertacco
会议名称:
《ACM/IEEE Design Automation Conference》
|
2009年
关键词:
Logic Design: Reliability and Testing - Error-checking;
Logic Design: Design Aids - Verification;
Verification;
Algorithms;
Design verification;
Validation;
Error diagnosis;
Error correction;
77.
Event-Driven Gate-Level Simulation with GP-GPUs
机译:
具有GP-GPU的事件驱动的门级仿真
作者:
Debapriya Chatterjee
;
Andrew DeOrio
;
Valeria Bertacco
会议名称:
《ACM/IEEE Design Automation Conference》
|
2009年
关键词:
Logic Design: Design Aids - Simulation;
Multiple Data Stream Architectures (Multiprocessors) - Parallel Processors;
Verification;
Performance;
Gate-level simulation;
High-performance simulation;
78.
Spectral Techniques for High-Resolution Thermal Characterization with Limited Sensor Data
机译:
具有有限传感器数据的高分辨率热表征的光谱技术
作者:
Ryan Cochran
;
Sherief Reda
会议名称:
《ACM/IEEE Design Automation Conference》
|
2009年
关键词:
Integrated Circuits: Types and Design Styles;
Design;
Performance;
Algorithms;
Thermal management;
Spectral methods;
Spatial estimation;
Thermal sensors;
79.
Creating an affordable 22nm node using design-lithography co-optimization
机译:
使用设计光刻共同优化创建实惠的22nm节点
会议名称:
《ACM/IEEE Design Automation Conference》
|
2009年
关键词:
high-k dielectric thin films;
integrated circuit design;
ultraviolet lithography;
FinFET;
SOI;
advanced technology node;
aggressive scaling technology node;
design-lithography cooptimization;
double patterning technologies;
extreme ultraviolet lithography;
interference lithography;
metal gate-high-K stack;
multie-beam lithography;
random dopant fluctuation;
size 20 nm;
size 22 nm;
size 28 nm;
size 32 nm;
template-based design methodology;
DFM;
design technology co-optimization;
regular fabric;
templates;
80.
Improving testability and soft-error resilience through retiming
机译:
通过重度提高可测试性和软错误弹性
会议名称:
《ACM/IEEE Design Automation Conference》
|
2009年
关键词:
circuit reliability;
circuit testing;
combinational circuits;
hardware-software codesign;
network synthesis;
optimising compilers;
sequential circuits;
registers;
retiming;
soft errors;
state elements;
testability improvement;
Testability;
81.
Computing bounds for fault tolerance using formal techniques
机译:
使用正式技术计算容错容限的界限
会议名称:
《ACM/IEEE Design Automation Conference》
|
2009年
关键词:
circuit CAD;
fault tolerance;
integrated circuit design;
integrated circuit reliability;
computing bound;
digital circuit;
formal technique;
lower bound;
sequential equivalence check;
transient faults;
upper bound;
Formal Verification;
SAT;
82.
LICT: Left-uncompressed Instructions Compression Technique to Improve the Decoding Performance of VLIW Processors
机译:
LICT:左压缩指令压缩技术,提高VLIW处理器的解码性能
作者:
Talal Bonny
;
Jorg Henkel
会议名称:
《ACM/IEEE Design Automation Conference》
|
2009年
关键词:
Hardware: Memory Structures;
Performance;
Design;
Code compression;
Embedded systems;
Huffman Coding;
83.
Interconnection fabric design for tracing signals in post-silicon validation
机译:
后硅验证中追踪信号的互连结构设计
会议名称:
《ACM/IEEE Design Automation Conference》
|
2009年
关键词:
integrated circuit design;
integrated circuit interconnections;
integrated circuit testing;
silicon;
access networks;
circuit under debug;
complex integrated circuits;
interconnection fabric design;
multiplexer trees;
off-chip trace ports;
on-chip buffers;
post-silicon validation;
real-time visibility;
signal tracing;
Trace-Based Debug;
84.
Exploring serial vertical interconnects for 3D ICs
机译:
探索3D IC的串行垂直互连
会议名称:
《ACM/IEEE Design Automation Conference》
|
2009年
关键词:
integrated circuit interconnections;
integrated circuit layout;
network routing;
silicon;
3D IC serial vertical interconnects;
core layout;
on-chip communication bottleneck;
power efficient intercore communication;
routing congestion;
silicon layers;
size 65 nm;
three-dimensional integrated circuits;
vertical through silicon via interconnects;
3D ICs;
Networks on Chip;
Serial Interconnect;
VLSI;
85.
Register allocation for high-level synthesis using dual supply voltages
机译:
使用双电源电压注册高电平合成的分配
会议名称:
《ACM/IEEE Design Automation Conference》
|
2009年
关键词:
CMOS integrated circuits;
integrated circuit design;
optimising compilers;
CMOS technology;
dual supply voltages;
high-level synthesis;
memory elements;
power consumption;
register allocation;
dual supply voltage;
low power;
86.
An efficient passivity test for descriptor systems via canonical projector techniques
机译:
通过规范投影仪技术对描述符系统的有效信仰测试
会议名称:
《ACM/IEEE Design Automation Conference》
|
2009年
关键词:
linear matrix inequalities;
modelling;
simulation;
state-space methods;
VLSI modeling;
canonical projector technique;
descriptor systems;
efficient passivity test;
explicit state space formulation;
extended positive real lemma;
linear matrix inequality;
matrix chain;
skew-Hamiltonian/Hamiltonian transformation;
Passivity test;
canonical projector;
descriptor system;
spectral projector;
87.
Carbon Nanotube circuits in the presence of carbon nanotube density variations
机译:
碳纳米管密度变化存在下的碳纳米管电路
会议名称:
《ACM/IEEE Design Automation Conference》
|
2009年
关键词:
carbon nanotubes;
field effect transistor circuits;
integrated circuit reliability;
carbon nanotube density variations;
carbon nanotube field effect transistor circuits;
chemical synthesis;
CNT;
CNT Correlation;
CNT Density Variation;
Carbon Nanotube;
88.
Parallelizable stable explicit numerical integration for efficient circuit simulation
机译:
有效的稳定明确的数值集成,用于高效电路模拟
会议名称:
《ACM/IEEE Design Automation Conference》
|
2009年
关键词:
circuit simulation;
integrated circuit design;
integration;
explicit inner integrator;
forward Euler;
parallel circuit simulation;
parallelizable stable explicit numerical integration;
stability limitation;
telescopic projective numerical integration;
Explicit Numerical Integration;
Parallel Computing;
Transient Simulation;
89.
Variability Analysis under Layout Pattern-Dependent Rapid-Thermal Annealing Process
机译:
布局图案依赖性快速热退火过程下的可变性分析
作者:
Yun Ye
;
Frank Liu
;
Min Chen
;
Yu Cao
会议名称:
《ACM/IEEE Design Automation Conference》
|
2009年
关键词:
Integrated Circuits: Design Aids - layout;
Simulation;
Performance and Reliability: Performance Analysis and Design Aids;
Design;
Experimentation;
Performance;
Reliability;
Rapid-Thermal Annealing;
Layout Pattern;
Dopant Activation;
90.
Oil fields, hedge funds, and drugs
机译:
油田,对冲基金和毒品
会议名称:
《ACM/IEEE Design Automation Conference》
|
2009年
关键词:
Monte Carlo methods;
financial data processing;
optimisation;
petroleum industry;
pharmaceutical industry;
statistical analysis;
Monte Carlo method;
drug development;
electronic design automation;
financial market;
oil field;
optimization;
silicon process technology;
Drug discovery;
Financial market analysis;
Oil field discovery;
91.
Optimal static WCET-aware scratchpad allocation of program code
机译:
最佳静态WCET-Aware Scratchpad程序代码的分配
会议名称:
《ACM/IEEE Design Automation Conference》
|
2009年
关键词:
cache storage;
program compilers;
program diagnostics;
real-time systems;
ILP-based SPM allocator;
memory access;
optimal static SPM allocation algorithm;
optimal static WCET-aware scratchpad allocation;
program code;
program compiler;
real-time system design;
scratchpad memory;
worst-case execution time;
Scratchpad Allocation;
WCET;
92.
SRAM parametric failure analysis
机译:
SRAM参数故障分析
会议名称:
《ACM/IEEE Design Automation Conference》
|
2009年
关键词:
Monte Carlo methods;
SRAM chips;
failure analysis;
probability;
statistical analysis;
SRAM design;
controlled sampling scheme;
failure probability extraction;
nested Monte Carlo analysis method;
piecewise modeling technique;
statistical performance model;
Failure Probability Estimation;
Parametric Failure;
Response Surface Model;
SRAM;
93.
Xquasher: A tool for efficient computation of multiple linear expressions
机译:
XQuAsher:一种有效计算多个线性表达式的工具
会议名称:
《ACM/IEEE Design Automation Conference》
|
2009年
关键词:
computational complexity;
linear systems;
optimisation;
signal processing;
software tools;
Xquasher software tool;
computation optimization method;
digital signal processing application;
linear systems computation;
multiple linear expression;
power set encoding concept;
Area optimization;
DSP transforms;
common sub-expression elimination;
linear expression;
multiple constant multiplications;
94.
Design perspectives on 22nm CMOS and beyond
机译:
22nm CMOS及以后的设计视角
会议名称:
《ACM/IEEE Design Automation Conference》
|
2009年
关键词:
CMOS integrated circuits;
integrated circuit design;
integrated circuit testing;
nanotechnology;
CMOS;
design perspective;
design technology;
testing;
validation;
Nano;
Power;
Variability;
95.
Heterogeneous Code Cache: Using Scratchpad and Main Memory in Dynamic Binary Translators
机译:
异构代码缓存:在动态二进制翻译器中使用Scratchpad和主内存
作者:
Jose A. Baiocchi
;
Bruce R. Childers
会议名称:
《ACM/IEEE Design Automation Conference》
|
2009年
关键词:
Special-purpose and application-based systems - Real-time and embedded systems;
Programming Languages: Processors - Code generation;
Compilers;
Incremental compilers;
Interpreters;
Optimization;
Run-time environments;
96.
On-line Thermal Aware Dynamic Voltage Scaling for Energy Optimization with Frequency/Temperature Dependency Consideration
机译:
用频率/温度依赖性考虑能源优化的在线热意识动态电压缩放
作者:
Min Bao
;
Alexandru Andrei
;
Petru Eles
;
Zebo Peng
会议名称:
《ACM/IEEE Design Automation Conference》
|
2009年
关键词:
Special-Purpose and Application-Based Systems: Microprocessor/microcomputer applications;
Real-time and embedded systems;
Operating Systems: Process Management - scheduling;
Computer-Aided Engineering: computer-aided design;
97.
Multiprocessor system-on-chip designs with active memory processors for higher memory efficiency
机译:
多处理器系统的片上设计,具有活动内存处理器,可用于更高的内存效率
会议名称:
《ACM/IEEE Design Automation Conference》
|
2009年
关键词:
computer architecture;
microprocessor chips;
system-on-chip;
active memory processors;
higher memory efficiency;
memory access latency;
memory-related operations;
multiprocessor system-on-chip designs;
on-chip network transaction;
software designer;
Network-on-chip;
98.
Enabling adaptability through elastic clocks
机译:
通过弹性钟实现适应性
会议名称:
《ACM/IEEE Design Automation Conference》
|
2009年
关键词:
clocks;
integrated circuit design;
low-power electronics;
trees (mathematics);
adaptive technique;
design flow support;
elastic clocking scheme;
elastic clocks;
higher power consumption;
low-skew clock trees;
power savings;
sign-off analysis;
stringent timing requirements;
Adaptive voltage scaling;
GALS;
desynchronization;
low power design;
99.
Polynomial Datapath Optimization Using Partitioning and Compensation Heuristics
机译:
多项式DataPath优化使用分区和补偿启发式测量
作者:
O. Sarbishei
;
B. Alizadeh
;
M. Fujita
会议名称:
《ACM/IEEE Design Automation Conference》
|
2009年
关键词:
Register Transfer Level Implementation: Design - Data-path design;
Algorithms;
Polynomial Datapath;
High-Level Synthesis;
Modular HED;
100.
The Cilk++ Concurrency Platform
机译:
CILK ++并发平台
作者:
Charles E. Leiserson
会议名称:
《ACM/IEEE Design Automation Conference》
|
2009年
关键词:
Software: Programming Techniques - Concurrent Programming;
Algorithms;
Performance;
Design;
Reliability;
Languages;
Amdahl's Law;
Dag model;
Hyperobject;
Multicore programming;
Multithreading;
Parallelism;
Parallel programming;
Race detection;
Reducer;
意见反馈
回到顶部
回到首页