首页> 外文会议>2013 IEEE 31st International Conference on Computer Design >FreshCache: Statically and dynamically exploiting dataless ways
【24h】

FreshCache: Statically and dynamically exploiting dataless ways

机译:FreshCache:静态和动态利用无数据方式

获取原文
获取原文并翻译 | 示例

摘要

Last level caches (LLCs) account for a substantial fraction of the area and power budget in many modern processors. Two recent trends — dwindling die yield that falls off sharply with larger chips and increasing static power — make a strong case for a fresh look at LLC design. Inclusive caches are particularly interesting because many commercially successful processors use inclusion to ease coherence at a cost of some data being stale or redundant.
机译:在许多现代处理器中,最后一级缓存(LLC)占面积和功耗预算的很大一部分。最近的两个趋势-越来越大的芯片和越来越多的静态功率导致管​​芯成品率不断下降-为重新设计LLC设计提供了有力依据。包含性缓存特别有趣,因为许多商业上成功的处理器使用包含性来减轻一致性,但代价是某些数据过时或多余。

著录项

相似文献

  • 外文文献
  • 中文文献
  • 专利
获取原文

客服邮箱:kefu@zhangqiaokeyan.com

京公网安备:11010802029741号 ICP备案号:京ICP备15016152号-6 六维联合信息科技 (北京) 有限公司©版权所有
  • 客服微信

  • 服务号