首页> 外国专利> SYSTEM AND METHOD FOR DESIGNING SYSTEM ON CHIP (SoC) CIRCUITS USING SINGLE INSTRUCTION MULTIPLE AGENT (SIMA) INSTRUCTIONS

SYSTEM AND METHOD FOR DESIGNING SYSTEM ON CHIP (SoC) CIRCUITS USING SINGLE INSTRUCTION MULTIPLE AGENT (SIMA) INSTRUCTIONS

机译:使用单指令多代理(sima)指令在芯片(SoC)电路上设计系统的系统和方法

摘要

The embodiments herein discloses a system and method for designing SoC by using a reinforcement learning processor. An SoC specification input is received and a plurality of domains and a plurality of subdomains is created using application specific instruction set to generate chip specific graph library. An interaction is initiated between the reinforcement learning agent and the reinforcement learning environ lent using the application specific instructions. Each of the SoC sub domains from the plurality of SoC sub domains is mapped to a combination of environment, rewards and actions by a second processor. Further, interaction of a plurality of agents is initiated with the reinforcement learning environment for a predefined number of times and further Q value, V value, R value, and A value is updated in the second memory module. Thereby, an optimal chip architecture for designing SoC is acquired using application-domain specific instruction set (ASI).
机译:本文的实施例公开了一种用于通过使用强化学习处理器来设计SoC的系统和方法。接收SoC规范输入,并使用特定于应用程序的指令集创建多个域和多个子域,以生成芯片特定的图形库。使用特定于应用程序的指令在强化学习代理和强化学习环境之间启动交互。多个SoC子域中的每个SoC子域都由第二处理器映射到环境,奖励和操作的组合。此外,在增强学习环境中启动了多个代理的交互达预定次数,并且在第二存储模块中更新了Q值,V值,R值和A值。从而,使用特定于应用领域的指令集(ASI)获得了用于设计SoC的最佳芯片架构。

著录项

  • 公开/公告号US2018260498A1

    专利类型

  • 公开/公告日2018-09-13

    原文格式PDF

  • 申请/专利权人 ALPHAICS CORPORATION;

    申请/专利号US201815859698

  • 发明设计人 NAGENDRA NAGARAJA;

    申请日2018-01-01

  • 分类号G06F17/50;

  • 国家 US

  • 入库时间 2022-08-21 13:02:07

相似文献

  • 专利
  • 外文文献
  • 中文文献
获取专利

客服邮箱:kefu@zhangqiaokeyan.com

京公网安备:11010802029741号 ICP备案号:京ICP备15016152号-6 六维联合信息科技 (北京) 有限公司©版权所有
  • 客服微信

  • 服务号