...
【24h】

VERIFICATION OF AMBA-AHB BASED VERIFYING IP USING UVM METHODOLOGY

机译:验证基于AMBA-AHB验证IP使用UVM方法

获取原文
获取原文并翻译 | 示例
   

获取外文期刊封面封底 >>

       

摘要

This paper describes the verification of AMB- AHB based verifying IP using UVM (Universel Verification Methodology). AHB Is an Advanced High performance system Bus that supports multiple masters and multiple slaves. It Implements burst transfers, split transactions, single-cycle bus master handover, single-clock edge operation, wider data bus Configuration (64/128bits). Verification IP(Intellectual Property) is the one which provides a smart way to verify the AHB Components such as Master, Slave, Arbiter and Decoder. UVM is used for the verification of AHB Protocol which provides the best framework to achieve CDV (Coverage Driven Verification) which combines automatic test generation, self-checking test benches, and Coverage metrics to significantly reduce the time spent on verifying a design. An UVM test bench is composed of reusable Verification environements called VCs (verification Components). This paper examines the verification of VCs Which are structured to work with Verilog, VHDL, System Verilog and System C. AMBA Protocol based SoC it improves quality and reduces Schedule time it is the standard framework to build the verification environnement waveforms, code coverage is also discussed in the paper.
机译:本文描述了AMB - AHB的验证基于验证IP使用UVM (Universel验证方法)。高性能系统总线,支持多个大师和多个奴隶。实现转移,拆分交易,单总线主控交接,单个钟边操作,更宽的数据总线配置(64/128bits)。属性)是它提供了一个聪明的方式验证AHB组件(如主人,奴隶,仲裁者和译码器。AHB协议提供的验证最好的框架来实现CDV(覆盖率驱动的验证)相结合自动测试一代,自检测试长椅,覆盖率指标显著降低花在验证设计。可重用的验证environements组成被称为风险投资(验证组件)。检查验证的风投公司结构化处理Verilog硬件描述语言(VHDL),系统Verilog和系统c·安巴协议基于SoC提高质量,降低进度时间标准的框架来构建验证environnement)波形,代码覆盖率也本文讨论。

著录项

相似文献

  • 外文文献
  • 中文文献
  • 专利
获取原文

客服邮箱:kefu@zhangqiaokeyan.com

京公网安备:11010802029741号 ICP备案号:京ICP备15016152号-6 六维联合信息科技 (北京) 有限公司©版权所有
  • 客服微信

  • 服务号