掌桥科研
一站式科研服务平台
科技查新
收录引用
专题文献代查
团队文献服务
更多产品
首页
成为会员
我要充值
退出
我的积分:
中文会员
开通
中文文献批量获取
外文会员
开通
外文文献批量获取
我的订单
会员中心
我的包量
我的余额
登录/注册
文献导航
中文期刊
>
中文会议
>
中文学位
>
中国专利
>
外文期刊
>
外文会议
>
外文学位
>
外国专利
>
外文OA文献
>
外文科技报告
>
中文图书
>
外文图书
>
工业技术
基础科学
医药卫生
农业科学
教科文艺
经济财政
社会科学
哲学政法
其他
工业技术
基础科学
医药卫生
农业科学
教科文艺
经济财政
社会科学
哲学政法
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
马克思主义、列宁主义、毛泽东思想、邓小平理论
哲学、宗教
社会科学总论
政治、法律
军事
经济
文化、科学、教育、体育
语言、文字
文学
艺术
历史、地理
自然科学总论
数理科学和化学
天文学、地球科学
生物科学
医药、卫生
农业科学
工业技术
交通运输
航空、航天
环境科学、安全科学
综合性图书
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
美国国防部AD报告
美国能源部DE报告
美国航空航天局NASA报告
美国商务部PB报告
外军国防科技报告
美国国防部
美国参联会主席指示
美国海军
美国空军
美国陆军
美国海军陆战队
美国国防技术信息中心(DTIC)
美军标
美国航空航天局(NASA)
战略与国际研究中心
美国国土安全数字图书馆
美国科学研究出版社
兰德公司
美国政府问责局
香港科技大学图书馆
美国海军研究生院图书馆
OALIB数据库
在线学术档案数据库
数字空间系统
剑桥大学机构知识库
欧洲核子研究中心机构库
美国密西根大学论文库
美国政府出版局(GPO)
加利福尼亚大学数字图书馆
美国国家学术出版社
美国国防大学出版社
美国能源部文献库
美国国防高级研究计划局
美国陆军协会
美国陆军研究实验室
英国空军
美国国家科学基金会
美国战略与国际研究中心-导弹威胁网
美国科学与国际安全研究所
法国国际关系战略研究院
法国国际关系研究所
国际宇航联合会
美国防务日报
国会研究处
美国海运司令部
北约
盟军快速反应部队
北约浅水行动卓越中心
北约盟军地面部队司令部
北约通信信息局
北约稳定政策卓越中心
美国国会研究服务处
美国国防预算办公室
美国陆军技术手册
一般OA
科技期刊论文
科技会议论文
图书
科技报告
科技专著
标准
其它
美国卫生研究院文献
分子生物学
神经科学
药学
外科
临床神经病学
肿瘤学
细胞生物学
遗传学
公共卫生&环境&职业病
应用微生物学
全科医学
免疫学
动物学
精神病学
兽医学
心血管
放射&核医学&医学影像学
儿科
医学进展
微生物学
护理学
生物学
牙科&口腔外科
毒理学
生理学
医院管理
妇产科学
病理学
生化技术
胃肠&肝脏病学
运动科学
心理学
营养学
血液学
泌尿科学&肾病学
生物医学工程
感染病
生物物理学
矫形
外周血管病
药物化学
皮肤病学
康复学
眼科学
行为科学
呼吸学
进化生物学
老年医学
耳鼻喉科学
发育生物学
寄生虫学
病毒学
医学实验室检查技术
生殖生物学
风湿病学
麻醉学
危重病护理
生物材料
移植
医学情报
其他学科
人类生活必需品
作业;运输
化学;冶金
纺织;造纸
固定建筑物
机械工程;照明;加热;武器;爆破
物理
电学
人类生活必需品
作业;运输
化学;冶金
纺织;造纸
固定建筑物
机械工程;照明;加热;武器;爆破
物理
电学
马克思主义、列宁主义、毛泽东思想、邓小平理论
哲学、宗教
社会科学总论
政治、法律
军事
经济
文化、科学、教育、体育
语言、文字
文学
艺术
历史、地理
自然科学总论
数理科学和化学
天文学、地球科学
生物科学
医药、卫生
农业科学
工业技术
交通运输
航空、航天
环境科学、安全科学
综合性图书
主题
主题
题名
作者
关键词
摘要
高级搜索 >
外文期刊
外文会议
外文学位
外国专利
外文图书
外文OA文献
中文期刊
中文会议
中文学位
中国专利
中文图书
外文科技报告
清除
历史搜索
清空历史
首页
>
外文会议
>
其他
>
International Conference on VLSI Design
International Conference on VLSI Design
召开年:
召开地:
出版时间:
-
会议文集:
-
会议论文
热门论文
全部论文
全选(
0
)
清除
导出
共
1935
条结果
1.
Control Mechanism to Solve False Blocking Problem at MAC Layer in Wireless Sensor Networks
机译:
在无线传感器网络中解决MAC层的错误阻塞问题的控制机制
作者:
Singh Brajendra K.
;
Tepe Kemal E.
;
Khalid Mohammed A.S.
会议名称:
《International Conference on VLSI Design》
|
2014年
关键词:
False Blocking problem;
MAC Protocol design;
Wireless Sensor Networks;
2.
A Novel Architecture for FPGA Implementation of Otsu's Global Automatic Image Thresholding Algorithm
机译:
一种新颖的FPGA实现OTSU全局自动图像阈值算法的架构
作者:
Pandey J.G.
;
Karmakar A.
;
Shekhar C.
;
Gurunarayanan S.
会议名称:
《International Conference on VLSI Design》
|
2014年
关键词:
FPGA;
Otsu's global image thresholding;
VLSI architecture for image and video processing;
electronic system level (ESL) design;
fixed-point architecture;
3.
Delete and Correct (DaC): An Atomic Logic Operation for Removing Any Unwanted Wire
机译:
删除和纠正(DAC):原子逻辑操作,用于去除任何不需要的电线
作者:
Wei Xing
;
Lam Tak-Kei
;
Yang Xiaoqing
;
Tang Wai-Chung more authors
会议名称:
《International Conference on VLSI Design》
|
2014年
关键词:
Cut Enumeration;
Error Cancellation;
Error Cut;
Error Frontier;
Logic Rewiring;
4.
Statistical Modeling of Glitching Effects in Estimation of Dynamic Power Consumption
机译:
动态功耗估算中的故障效应的统计建模
作者:
Meixner Michael
;
Noll Tobias G.
会议名称:
《International Conference on VLSI Design》
|
2014年
关键词:
RTL power estimator;
dynamic power consumption;
glitching;
macro-model;
power estimation;
statistical analysis;
5.
A New Sensitivity-Driven Process Variation Aware Self-Repairing Low-Power SRAM Design
机译:
一种新的灵敏度驱动过程变化意识到自修复低功耗SRAM设计
作者:
Yadav Nandakishor
;
Dutt Sunil
;
Sharma G.K.
会议名称:
《International Conference on VLSI Design》
|
2014年
6.
Tutorial T3B: Engineering Change Order (ECO) Phase Challenges and Methodologies for High Performance Design
机译:
教程T3B:高性能设计的工程变更令(ECO)相位挑战和方法
作者:
Rangarajan Sridhar
;
Chakrabarti Pinaki
;
Sahais Sourav
;
Datta Ayan more authors
会议名称:
《International Conference on VLSI Design》
|
2014年
7.
Inserting Placeholder Slack to Improve Run-Time Scheduling of Non-preemptible Real-Time Tasks in Heterogeneous Systems
机译:
插入占位符Slack以改善异构系统中不可抢占实时任务的运行时调度
作者:
Tang Hsiang-Kuo
;
Ramanathan Parmesh
;
Morrow Katherine
会议名称:
《International Conference on VLSI Design》
|
2014年
关键词:
Fairness;
Multi-cores;
Non-preemptive Heterogeneous System;
RTOS;
Real-Time;
Scheduling;
Token Bucket;
8.
Knowledge-Guided Methodology for Third-Party Soft IP Analysis
机译:
第三方软IP分析的知识引导方法
作者:
Singh Bhanu
;
Shankar Arunprasath
;
Wolff Francis
;
Weyer Daniel more authors
会议名称:
《International Conference on VLSI Design》
|
2014年
关键词:
Expert systems;
Knowledge base;
Ontology;
RTL Lint;
RTL analysis;
9.
Tutorial T7B: Network on Chips -- The Journey Overview
机译:
教程T7B:筹码网络 - 旅程概述
作者:
Mekie J.
;
Ved S.N.
会议名称:
《International Conference on VLSI Design》
|
2014年
10.
Obstacle Avoiding Rectilinear Clock Tree Construction with Skew Minimization
机译:
避免直线时钟树结构的障碍物最小化
作者:
Saha Partha Pratim
;
Samanta Tuhina
会议名称:
《International Conference on VLSI Design》
|
2014年
关键词:
Clock Skew Minimization;
Clock Tree;
Elmore Delay;
Obstacle;
Obstacle Avoiding Rectilinear Clock Tree Construction;
11.
A Test Partitioning Technique for Scheduling Tests for Thermally Constrained 3D Integrated Circuits
机译:
一种测试分区技术,用于调度热约束3D集成电路的测试
作者:
Millican Spencer K.
;
Saluja Kewal K.
会议名称:
《International Conference on VLSI Design》
|
2014年
关键词:
3D-IC;
SoC test;
Test application time reduction;
Test scheduling;
temperature test;
test partitioning;
12.
Process Variation Aware Synthesis of Application-Specific MPSoCs to Maximize Yield
机译:
过程变异意识到应用特定的MPSOC的合成,以最大化产量
作者:
Kapadia Nishit
;
Pasricha Sudeep
会议名称:
《International Conference on VLSI Design》
|
2014年
关键词:
algorithms;
design automation;
mapping;
system-level CAD;
voltage islands;
13.
An ABCD Parameter Based Modeling and Analysis of Crosstalk Induced Effects in Multiwalled Carbon Nanotube Bundle Interconnects
机译:
基于ABCD参数的串扰型互连串扰诱导效应的建模与分析
作者:
Sahoo Manodipan
;
Ghosal Prasun
;
Rahaman Hafizur
会议名称:
《International Conference on VLSI Design》
|
2014年
关键词:
ABCD parameter;
Crosstalk;
Delay;
Integrated Circuit;
Interconnects;
Multiwalled Carbon Nanotube (MWCNT);
Nanoscale;
Noise;
SPICE;
14.
Low Power Single Amplifier Voltage Regulator
机译:
低功率单放大器电压调节器
作者:
Wadhwa Sanjay Kumar
;
Banerjee Jaideep
;
Gupta Rakesh Kumar
会议名称:
《International Conference on VLSI Design》
|
2014年
关键词:
bandgap reference circuit;
linear voltage regulator;
low power analog;
15.
Tutorial T3A: Testing Low-Power Integrated Circuits: Challenges, Solutions, and Industry Practices
机译:
教程T3A:测试低功耗集成电路:挑战,解决方案和行业实践
作者:
Ravi Srivaths
;
Chickermane Vivek
;
Chakravadhanula Krishna
会议名称:
《International Conference on VLSI Design》
|
2014年
16.
TECS: Temperature- and Energy-Constrained Scheduling for Multicore Systems
机译:
TECS:多核系统的温度和能量约束调度
作者:
Qin Xiaoke
;
Mishra Prabhat
会议名称:
《International Conference on VLSI Design》
|
2014年
17.
Challenges in Implementing Cache-Based Side Channel Attacks on Modern Processors
机译:
在现代处理器上实现基于缓存的侧频攻击的挑战
作者:
Gajrani Jyoti
;
Mazumdar Pooja
;
Sharma Sampreet
;
Menezes Bernard
会议名称:
《International Conference on VLSI Design》
|
2014年
关键词:
AES;
attacker;
caches;
lookup tables;
prefetching;
processors;
side channel attacks;
18.
Hardware Efficient VLSI Architecture for 3-D Discrete Wavelet Transform
机译:
用于3-D离散小波变换的硬件高效VLSI架构
作者:
Darji Anand
;
Shukla Saurabh
;
Merchant S.N.
;
Chandorkar A.N.
会议名称:
《International Conference on VLSI Design》
|
2014年
关键词:
ASIC;
Data Flow Graph;
Discrete Wavelet Transform;
FPGA;
Lifting;
VLSI Architecture;
19.
Performance and Power Benefits of Sharing Execution Units between a High Performance Core and a Low Power Core
机译:
在高性能核心和低功耗核心之间共享执行单元的性能和功效
作者:
Rodrigues Rance
;
Koren Israel
;
Kundu Sandip
会议名称:
《International Conference on VLSI Design》
|
2014年
关键词:
Asymmetric Multicore Processor (AMP);
Symmetric Multicore Processor (SMP);
performance;
performance/ Watt;
resource sharing;
20.
An Adaptive Inductorless Continuous Time Equalizer for Gigabit Links in 0.13 um CMOS
机译:
在0.13 um CMOS中的千兆链路的自适应电感连续时间均衡器
作者:
Monga Sushrant
;
Chatterjee Shouri
会议名称:
《International Conference on VLSI Design》
|
2014年
关键词:
Gbps;
continuous time equalizer;
decision feedback;
frequency response;
serial link receiver;
21.
Formal Verification and Debugging of Array Dividers with Auto-correction Mechanism
机译:
具有自动校正机制的阵列分频器的正式验证和调试
作者:
Haghbayan M.H.
;
Alizadeh B.
;
Behnam P.
;
Safari S.
会议名称:
《International Conference on VLSI Design》
|
2014年
关键词:
Formal verification;
arithmetic circuits;
debugging;
division algorithms;
22.
Application of Test-View Modeling to Hierarchical ATPG
机译:
测试视图建模在分层ATPG中的应用
作者:
Shukla Rahul
;
Loi Phong
;
Pham Ken
;
Margulis Arie more authors
会议名称:
《International Conference on VLSI Design》
|
2014年
关键词:
ATPG verification;
hierarchical atpg;
test view model;
23.
A Hardware Intensive Approach for Efficient Implementation of Numerical Integration for FPGA Platforms
机译:
一种有效地实现FPGA平台数值集成的硬件密集方法
作者:
Khurshid Burhan
;
Mir Roohie Naz
会议名称:
《International Conference on VLSI Design》
|
2014年
关键词:
Data broadcast structure;
FIR structure;
Fine grain pipelining;
Trapezoidal rule;
24.
A Time-Based Low Voltage Body Temperature Monitoring Unit
机译:
基于时间的低压体温监控单元
作者:
Jeyashankar Karthik Ramkumar
;
Mahalley Makrand
;
Amrutur Bharadwaj
会议名称:
《International Conference on VLSI Design》
|
2014年
关键词:
FPGA;
sub-sampling;
subthreshold design;
temperature measurement;
time to digital converter;
25.
Trimless, PVT Insensitive Voltage Reference Using Compensation of Beta and Thermal Voltage
机译:
无缝,PVT不敏感电压参考使用β和热电压
作者:
Gopal Hande Vinayak
;
Baghini Maryam Shojaei
会议名称:
《International Conference on VLSI Design》
|
2014年
关键词:
CMOS bandgap voltage reference;
PVT;
temperature coefficient;
trim- less;
26.
Layout-Aware Delay Variation Optimization for CNTFET-Based Circuits
机译:
基于CNTFET电路的布局感知延迟变化优化
作者:
Beste Matthias
;
Kiamehr Saman
;
Tahoori Mehdi B.
会议名称:
《International Conference on VLSI Design》
|
2014年
关键词:
EDA;
Emerging Technologies;
Layout Optimization;
carbon nanotubes-based gates;
delay variation;
27.
A 500 mV to 1.0 V 128 Kb SRAM in Sub 20 nm Bulk-FinFET Using Auto-adjustable Write Assist
机译:
使用可自动调节的写辅助,在Sub 20 NM Bulk-FinFET中为1.0 V 128 KB SRAM 500 MV。
作者:
Dubey Prashant
;
Ahuja Gaurav
;
Verma Vaibhav
;
Yadav Sanjay Kumar more authors
会议名称:
《International Conference on VLSI Design》
|
2014年
关键词:
Fin-FETs;
Power;
SRAM;
adjustable;
dynamic-voltage frequency-scaling;
low power;
optimization;
read-assist;
reconfigurable;
variability;
write-assist;
28.
NoC Scheduling for Improved Application-Aware and Memory-Aware Transfers in Multi-core Systems
机译:
NOC调度,用于改进多核系统中的应用程序感知和内存感知传输
作者:
Pimpalkhute Tejasi
;
Pasricha Sudeep
会议名称:
《International Conference on VLSI Design》
|
2014年
29.
Efficient QR Decomposition Using Low Complexity Column-wise Givens Rotation (CGR)
机译:
使用低复杂度列 - 明智的旋转(CGR)的高效QR分解
作者:
Merchant Farhad
;
Chattopadhyay Anupam
;
Garga Ganesh
;
Nandy S.K. more authors
会议名称:
《International Conference on VLSI Design》
|
2014年
关键词:
CGRA;
QR Decomposition;
Systolic Arrays;
30.
Efficient SAT-Based Circuit Initialization for Larger Designs
机译:
高效基于SAT的电路初始化,适用于较大的设计
作者:
Sauer Matthias
;
Reimer Sven
;
Reddy Sudhakar M.
;
Becker Bernd
会议名称:
《International Conference on VLSI Design》
|
2014年
关键词:
Formal Methods;
Initialization sequences;
MaxSAT;
SAT;
31.
Scalable Low Power FFT/IFFT Architecture with Dynamic Bit Width Configurability
机译:
具有动态位宽可配置性的可扩展低功耗FFT / IFFT架构
作者:
Rangachari Sundarajan
;
Balakrishnan Jaiganesh
;
Chandrachoodan Nitin
会议名称:
《International Conference on VLSI Design》
|
2014年
关键词:
Dynamic Configuration;
FFT;
IFFT;
OFDM;
32.
Tiny NoC: A 3D Mesh Topology with Router Channel Optimization for Area and Latency Minimization
机译:
Tiny NoC:具有路由器通道优化的3D网状拓扑,用于区域和延迟最小化
作者:
Marcon Cesar
;
Fernandes Ramon
;
Cataldo Rodrigo
;
Grando Fernando more authors
会议名称:
《International Conference on VLSI Design》
|
2014年
关键词:
3D mesh NoC;
area;
latency;
optimization;
33.
A Cube-Aware Compaction Method for Scan ATPG
机译:
用于扫描ATPG的多维数据集的压缩方法
作者:
Jha Sharada
;
Chandrasekar Kameshwar
;
Wu Weixin
;
Sharma Ramesh more authors
会议名称:
《International Conference on VLSI Design》
|
2014年
34.
Better-than-Worst-Case Timing Design with Latch Buffers on Short Paths
机译:
具有短路上的锁存缓冲器的更好比最坏的定时设计
作者:
Uppu Ravi Kanth
;
Uppu Ravi Tej
;
Singh Adit D.
;
Polian Ilia
会议名称:
《International Conference on VLSI Design》
|
2014年
关键词:
Aggressive clock;
Clock frequency scaling;
Error detection;
Path delay profiles;
Process variations;
35.
FinFET Logic Circuit Optimization with Different FinFET Styles: Lower Power Possible at Higher Supply Voltage
机译:
FINFET逻辑电路优化不同的FINFET样式:电源电压较低的电源
作者:
Chaudhuri Sourindra
;
Jha Niraj K.
会议名称:
《International Conference on VLSI Design》
|
2014年
关键词:
FinFETs;
asymmetric gate-workfunction;
leakage power;
power optimization;
36.
Tutorial T6B: Embedded Memory Design for Future Technologies: Challenges and Solutions
机译:
Tutorial T6B:未来技术的嵌入式内存设计:挑战和解决方案
作者:
Ghosh Swaroop
会议名称:
《International Conference on VLSI Design》
|
2014年
37.
Performance Optimization and Parameter Sensitivity Analysis of Ultra Low Power Junctionless MOSFETs
机译:
超低功率连接MOSFET的性能优化和参数灵敏度分析
作者:
Parihar Mukta Singh
;
Kranti Abhinav
会议名称:
《International Conference on VLSI Design》
|
2014年
关键词:
Double Gate MOSFET;
Intrinsic delay;
Junctionless;
Parameter Sensitivity;
Ultra Low Power;
38.
Minimizing Power and Skew in VLSI-SoC Clocking with Pulsed Resonance Driven De-skewing Latches
机译:
用脉冲共振驱动的偏移闩锁最小化VLSI-SOC时钟中的电源和偏斜
作者:
Bezzam Ignatius
;
Krishnan Shoba
会议名称:
《International Conference on VLSI Design》
|
2014年
关键词:
Clock Distribution Network;
Clock Skew;
Dual Edge Triggering (DET);
Dynamic Voltage Frequency Scaling (DVFS);
Low Power;
Low Voltage;
Resonant Clocking;
39.
Embedded Complex Floating Point Hardware Accelerator
机译:
嵌入式复杂浮点硬件加速器
作者:
Ghasemazar Amin
;
Goli Mehran
;
Afzali-Kusha Ali
会议名称:
《International Conference on VLSI Design》
|
2014年
关键词:
ALU;
NIOS II;
co-processor;
floating point Complex number;
40.
Active Cooling Technique for Efficient Heat Mitigation in 3D-ICs
机译:
3D-IC中有效分度的主动冷却技术
作者:
Kaddi Pramod
;
Reddy Basireddy Karunakar
;
Singh Shiv Gobind
会议名称:
《International Conference on VLSI Design》
|
2014年
关键词:
Peltier effect;
Peltier element;
TTSV (Thermal Through Silicon Via);
Thermoelectric effect;
back to face bonding;
41.
Tutorial T2B: Cost / Application / Time to Market Driven SoC Design and Manufacturing Strategy
机译:
教程T2B:市场推动的成本/应用/时间驱动的SOC设计和制造策略
作者:
De Barun Kumar
;
Chattopadhyay Anupam
;
Banerjee Ansuman
会议名称:
《International Conference on VLSI Design》
|
2014年
42.
All Optical Reversible Multiplexer Design Using Mach-Zehnder Interferometer
机译:
所有光学可逆多路复用器设计使用Mach-Zehnder干涉仪
作者:
Datta Kamalika
;
Sengupta Indranil
会议名称:
《International Conference on VLSI Design》
|
2014年
关键词:
MZI switch;
Reversible logic;
low-power design;
optical computing;
43.
Global Routing Using Monotone Staircases with Minimal Bends
机译:
全球路由使用单调楼梯,具有最小弯曲
作者:
Kar Bapi
;
Sur-Kolay Susmita
;
Mandal Chittaranjan
会议名称:
《International Conference on VLSI Design》
|
2014年
关键词:
VLSI floorplan;
bend minimization;
global routing;
monotone staircase routing region;
top-down hierarchy;
44.
High-Speed, Low-Power Quasi Delay Insensitive Handshake Circuits Based on FinFET Technology
机译:
基于FinFET技术的高速,低功耗准延迟不敏感握手电路
作者:
Zarei Mohammad Yousef
;
Mosaffa Mahdi
;
Mohammadi Siamak
会议名称:
《International Conference on VLSI Design》
|
2014年
关键词:
Asynchronous circuits;
FinFET;
HSPICE;
Hadshake circuits;
Independent Gate FinFET;
PCFB;
PCHB;
45.
Forward Body Biased Adiabatic Logic for Peak and Average Power Reduction in 22nm CMOS
机译:
向前体偏置绝热逻辑,达到峰值和平均功率降低22nm CMOS
作者:
Morrison Matthew
;
Ranganathan Nagarajan
会议名称:
《International Conference on VLSI Design》
|
2014年
关键词:
Adiabatic Logic;
Forward Body Biasing;
Reversible Logic;
46.
Intra-Task Dynamic Cache Reconfiguration
机译:
任务内动态缓存重新配置
作者:
Hajimiri Hadi
;
Mishra Prabhat
会议名称:
《International Conference on VLSI Design》
|
2012年
关键词:
Dynamic Cache Reconfiguration;
Intra-Task;
47.
A Framework for TSV Serialization-aware Synthesis of Application Specific 3D Networks-on-Chip
机译:
TSV序列化的框架,意识到应用程序特定3D网络的合成
作者:
Pasricha Sudeep
会议名称:
《International Conference on VLSI Design》
|
2012年
关键词:
3D;
NoC;
networks on chip;
synthesis;
48.
Run-time Prediction of the Optimal Performance Point in DVS-based Dynamic Thermal Management
机译:
基于DVS的动态热管理中最优性能点的运行时间预测
作者:
Park Junyoung
;
Ustun H. Mert
;
Abraham Jacob A.
会议名称:
《International Conference on VLSI Design》
|
2012年
关键词:
Dynamic Thermal Management (DTM);
Dynamic Voltage Scaling (DVS);
Optimal Performance Point;
Run-time Prediction;
49.
Synthesis of Reversible Circuits Using Heuristic Search Method
机译:
使用启发式搜索方法来合成可逆电路
作者:
Datta Kamalika
;
Rathi Gaurav
;
Sengupta Indranil
;
Rahaman Hafizur
会议名称:
《International Conference on VLSI Design》
|
2012年
关键词:
Reversible logic synthesis;
heuristic search;
permutation;
50.
Low-Latency No-Handshake GALS Interfaces for Fast-Receiver Links
机译:
低延迟无握手GALS用于快速接收器链路的接口
作者:
Chabloz Jean-Michel
;
Hemani Ahmed
会议名称:
《International Conference on VLSI Design》
|
2012年
关键词:
GALS;
GRLS;
interfaces;
latency;
51.
Keynote Talk: A History of the VLSI Design Conference
机译:
主题演讲:VLSI设计会议的历史
作者:
Agrawal Vishwani D.
会议名称:
《International Conference on VLSI Design》
|
2012年
52.
Way Sharing Set Associative Cache Architecture
机译:
方式共享集关联缓存架构
作者:
Janraj C.J.
;
Kalyan T. Venkata
;
Warrier Tripti
;
Mutyam Madhu
会议名称:
《International Conference on VLSI Design》
|
2012年
关键词:
energy-efficient technique;
set-associative cache;
53.
A 55-mW 300MS/s 8-bit CMOS Parallel Pipeline ADC
机译:
55 MW 300ms / s 8位CMOS并行管道ADC
作者:
Hati Manas Kumar
;
Bhattacharyya Tarun K.
会议名称:
《International Conference on VLSI Design》
|
2012年
关键词:
Analog CMOS circuits;
DSSH;
RSD block;
dynamic comparator;
folded cascode OTA;
54.
Eliminating Performance Penalty of Scan
机译:
消除扫描的性能惩罚
作者:
Sinanoglu Ozgur
会议名称:
《International Conference on VLSI Design》
|
2012年
关键词:
multiplexer delay;
scan delay;
scan penalty;
scan test;
55.
A Reconfigurable On-die Traffic Generator in 45nm CMOS for a 48 iA-32 Core Network-on-Chip
机译:
45nm CMOS中的可重新配置的内模交通发电机,用于48 IA-32核心网络
作者:
Salihundam Praveen
;
Khan Mohammed Asadullah
;
Jain Shailendra
;
Hoskote Yatin
;
Yada Satish
;
Kumar Shasi
;
Erraguntla Vasantha
;
Vangal Sriram
;
Borkar Nitin
会议名称:
《International Conference on VLSI Design》
|
2012年
关键词:
2D mesh;
NoC;
Packet switching;
Single-chip Cloud Computer;
on-die Traffic Generator;
on-die testing;
56.
A High Speed FIR Filter Architecture Based on Novel Higher Radix Algorithm
机译:
基于新型较高基数算法的高速FIR滤波器架构
作者:
Sahoo S.K.
;
Reddy K. Srinivasa
会议名称:
《International Conference on VLSI Design》
|
2012年
关键词:
Multiplier;
Radix-256;
Redundant binary addition (RBA);
57.
Circuit Optimization at 22nm Technology Node
机译:
22nm技术节点的电路优化
作者:
Sachid Angada B.
;
Paliwal P.
;
Joshi S.
;
Shojaei M.
;
Sharma D.
;
Rao V.
会议名称:
《International Conference on VLSI Design》
|
2012年
关键词:
22nm design;
FinFET;
Interconnect parasitics;
Scaling trend;
58.
A Library for Passive Online Verification of Analog and Mixed-Signal Circuits
机译:
模拟和混合信号电路被动在线验证的库
作者:
Pal Debjit
;
Dasgupta Pallab
;
Mukhopadhyay Siddhartha
会议名称:
《International Conference on VLSI Design》
|
2012年
59.
Power Aware Post-Manufacture Tuning of MIMO Receiver Systems
机译:
MIMO接收器系统的动力启发后调整
作者:
Banerjee Debashis
;
Sen Shreyas
;
Devarakond Shyam Kumar
;
Chatterjee Abhijit
会议名称:
《International Conference on VLSI Design》
|
2012年
关键词:
MIMO;
post-manufacture tuning;
yield improvement;
60.
Power Aware Hardware Prototyping of Multiclass SVM Classifier Through Reconfiguration
机译:
通过重新配置的MultiClass SVM分类器的动力感知硬件原型
作者:
Patil Rajesh A.
;
Gupta Gauri
;
Sahula Vineet
;
Mandal A.S.
会议名称:
《International Conference on VLSI Design》
|
2012年
关键词:
SVM;
dynamic partial reconfiguration;
systolic array;
61.
Hardware Efficient Architecture for Generating Sine/Cosine Waves
机译:
用于生成正弦/余弦波的硬件高效架构
作者:
Aggarwal Supriya
;
Khare Kavita
会议名称:
《International Conference on VLSI Design》
|
2012年
关键词:
CORDIC Algorithm;
Cosine;
Leading-One Bit;
Sine;
Taylor Series;
62.
A Novel Encoding Scheme for Low Power in Network on Chip Links
机译:
芯片链路网络低功耗新颖的编码方案
作者:
Sarma Deepa N.
;
Lakshminarayanan G.
;
Chavali K.V.R. Suryakiran
会议名称:
《International Conference on VLSI Design》
|
2012年
关键词:
Network on Chip links;
analysis;
crosstalk;
low power;
self switching;
two stage coding;
uniform power reduction;
63.
Efficient Online RTL Debugging Methodology for Logic Emulation Systems
机译:
高效在线RTL调试方法,用于逻辑仿真系统
作者:
Banerjee Somnath
;
Gupta Tushar
会议名称:
《International Conference on VLSI Design》
|
2012年
关键词:
Debugging;
Emulation;
64.
Clock Tree Skew Minimization with Structured Routing
机译:
时钟树偏斜与结构化路由最小化
作者:
Chakrabarti Pinaki
会议名称:
《International Conference on VLSI Design》
|
2012年
关键词:
Clock tree;
H-tree;
Routing;
Skew;
Synthesis;
65.
An Integrated CMOS RF Energy Harvester with Differential Microstrip Antenna and On-Chip Charger
机译:
具有差动微带天线和片上充电器的集成CMOS RF能量收割机
作者:
Arrawatia Mahima
;
Diddi Varish
;
Kochar Harsha
;
Baghini Maryam Shojaei
;
Kumar Girish
会议名称:
《International Conference on VLSI Design》
|
2012年
关键词:
Antenna;
Battery charging;
CMOS;
Impedance Matching;
RF Energy Harvesting;
Rectifier;
66.
Temperature-aware Task Partitioning for Real-Time Scheduling in Embedded Systems
机译:
嵌入式系统中实时调度的温度感知任务分区
作者:
Wang Zhe
;
Ranka Sanjay
;
Mishra Prabhat
会议名称:
《International Conference on VLSI Design》
|
2012年
67.
Tutorial T1: Design of Mixed-Signal Systems using SystemC AMS Extensions
机译:
教程T1:使用Systemc AMS扩展的混合信号系统设计
作者:
Adhikari Sumit
;
Damm Markus
;
Grimm Christoph
;
Pecheux Francois
会议名称:
《International Conference on VLSI Design》
|
2012年
68.
Formal Verification of Galois Field Multipliers Using Computer Algebra Techniques
机译:
使用计算机代数技术进行正式验证Galois Field乘数
作者:
Lv Jinpeng
;
Kalla Priyank
会议名称:
《International Conference on VLSI Design》
|
2012年
关键词:
Computer algebra;
Finite field;
Grobner Bases;
Hardware verification;
69.
CMOS Gas Sensor Array Platform with Fourier Transform Based Impedance Spectroscopy
机译:
CMOS气体传感器阵列平台,基于傅里叶变换的阻抗光谱
作者:
Pramod M.
;
Bhat Navakanta
;
Banerjee Gaurab
;
Amrutur Bharadwaj
;
Bhat K.N.
;
Ramamurthy Praveen C.
会议名称:
《International Conference on VLSI Design》
|
2012年
关键词:
CMOS;
FFT;
Gas Sensor;
Impedance;
70.
Tutorial T2: Reversible Logic: Fundamentals and Applications in Ultra-Low Power, Fault Testing and Emerging Nanotechnologies, and Challenges in Future
机译:
教程T2:可逆逻辑:超低功耗,故障测试和新兴纳米技术的基础和应用,以及将来的挑战
作者:
Thapliyal Himanshu
;
Ranganathan Nagarajan
会议名称:
《International Conference on VLSI Design》
|
2012年
71.
Width-Aware Fine-Grained Dynamic Supply Gating: A Design Methodology for Low-Power Datapath and Memory
机译:
宽度感知细粒度动态电源门控:低功耗数据路径和内存的设计方法
作者:
Wang Lei
;
Paul Somnath
;
Bhunia Swarup
会议名称:
《International Conference on VLSI Design》
|
2012年
关键词:
Cache;
Dynamic Supply Gating;
Narrow-width operands;
Processor Datapath;
Width-Aware Issue;
72.
Bidirectional Single-Supply Level Shifter with Wide Voltage Range for Efficient Power Management
机译:
双向单电源电平移位器,具有宽电压范围,用于高效电源管理
作者:
Manohar Sujan K.
;
Somasundar Vinod K.
;
Venkatasubramanian Ramakrishnan
;
Balsara Poras T.
会议名称:
《International Conference on VLSI Design》
|
2012年
关键词:
Single–Supply;
bidirectional;
level shifter;
routing congestion;
73.
Analysis of the Pull-In Phenomenon in Microelectromechanical Varactors
机译:
微机电变容仪中拉上拉的现象分析
作者:
Roy Anindya Lal
;
Bhattacharya Anirban
;
Chaudhuri Ritesh Ray
;
Bhattacharyya Tarun Kanti
会议名称:
《International Conference on VLSI Design》
|
2012年
关键词:
MEM;
electrostatic actuation;
nanoindentation;
pull-in;
varactor;
74.
Tutorial T6: Variability-resistant Software and Hardware for Nano-Scale Computing
机译:
教程T6:抗性软件和纳米级计算的变形软件
作者:
Dutt Nikil
;
Srivastava Mani
;
Gupta Rajesh
;
Mitra Subhashish
会议名称:
《International Conference on VLSI Design》
|
2012年
75.
Real-Time, Content Aware Camera -- Algorithm -- Hardware Co-Adaptation for Minimal Power Video Encoding
机译:
实时,内容意识相机 - 算法 - 硬件共同适应最小电源视频编码
作者:
Wells Joshua W.
;
Natarajan Jayaram
;
Chatterjee Abhijit
;
Barlas Irtaza
会议名称:
《International Conference on VLSI Design》
|
2012年
关键词:
adaptive video encoding;
low-power DSP;
self aware;
76.
A Compact Temperature Sensor at 1.8#x0B5;A per Hz Conversion Rate and 1.1 #x0B0;C Accuracy for SOCs
机译:
紧凑的温度传感器,每Hz转换率为1.8μA,SOC的1.1°C精度
作者:
Sen Subhajit
;
Babitch Dan
;
Dubash Noshir
会议名称:
《International Conference on VLSI Design》
|
2012年
关键词:
MOSFET;
PTAT (proportional to absolute temperature);
auto-zeroing;
sigma-delta modulator;
sub-threshold leakage current;
switched-capacitor;
system-on-chip (SOC);
77.
Minimum Cost Fault Tolerant Adder Circuits in Reversible Logic Synthesis
机译:
可逆逻辑合成中的最低成本容错加法器电路
作者:
Mitra Sajib Kumar
;
Chowdhury Ahsan Raja
会议名称:
《International Conference on VLSI Design》
|
2012年
关键词:
Carry Skip Adder;
Fault Tolerant;
Full Adder;
Quantum Cost;
Reversible Logic;
78.
Set-Cover Heuristics for Two-Level Logic Minimization
机译:
用于两级逻辑最小化的设置封面启发式
作者:
Kagliwal Ankit
;
Balachandran Shankar
会议名称:
《International Conference on VLSI Design》
|
2012年
关键词:
ESPRESSO-II;
heuristic;
set-cover;
two-level logic minimization;
79.
Modeling of Partially Depleted SOI DEMOSFETs with a Sub-circuit Utilizing the HiSIM-HV Compact Model
机译:
利用HINIM-HV紧凑型模型的子电路建模部分耗尽的SOI脱墨孔
作者:
Agarwal Tarun Kumar
;
Kumar M. Jagadesh
会议名称:
《International Conference on VLSI Design》
|
2012年
关键词:
Compact Modeling;
HiSIM-HV;
High Voltage MOSFET;
Partially Depleted SOI;
sub-circuit;
80.
Tutorial T8B: Wireless System Design and Systems Engineering Challenges
机译:
教程T8B:无线系统设计和系统工程挑战
作者:
B Kameswara Rao
;
B Muralidhar Reddy
;
B Ravi Kishore
会议名称:
《International Conference on VLSI Design》
|
2012年
81.
GPU Implementation of a Programmable Turbo Decoder for Software Defined Radio Applications
机译:
GPU实现一个用于软件定义的无线电应用程序的可编程Turbo解码器
作者:
Yoge Dhiraj Reddy Nallapa
;
Chandrachoodan Nitin
会议名称:
《International Conference on VLSI Design》
|
2012年
关键词:
CUDA;
GPU implementation;
Guarding Mechanisms;
Parallel Log-MAP;
Turbo Decoder;
82.
Real-time Melodic Accompaniment System for Indian Music Using TMS320C6713
机译:
使用TMS320C6713的印度音乐实时旋律伴奏系统
作者:
Verma Prateek
;
Rao Preeti
会议名称:
《International Conference on VLSI Design》
|
2012年
关键词:
Additive synthesis;
Automatic accompaniment;
DSP;
Pitch detection;
83.
Tutorial T8A: Designing Silicon-Photonic Communication Networks for Manycore Systems
机译:
教程T8A:为多核系统设计硅 - 光子通信网络
作者:
Joshi Ajay
会议名称:
《International Conference on VLSI Design》
|
2012年
84.
Buffer Design and Eye-Diagram Based Characterization of a 20 GS/s CMOS DAC
机译:
基于缓冲的设计和基于眼图的20 GS / S CMOS DAC的表征
作者:
Singh Mohit
;
Gupta Shalabh
会议名称:
《International Conference on VLSI Design》
|
2012年
关键词:
Characterizing High-Speed DACs;
Eye-Diagram;
High-speed buffer;
85.
At-speed Testing of Asynchronous Reset De-assertion Faults
机译:
异步重置去断层故障的速度测试
作者:
Jain Arvind
;
Jalasutram Maheedhar
;
Vooka Srinivas
;
Nair Prasun
;
Pradhan Neeraj
会议名称:
《International Conference on VLSI Design》
|
2012年
关键词:
ATPG;
de-assertion;
delay fault;
reset synchronizer;
86.
Keynote Talk: A Wireless Sensor a Day Keeps the Doctor Away
机译:
主题演讲:每天无线传感器让医生远离
作者:
Gyselinckx Bert
会议名称:
《International Conference on VLSI Design》
|
2012年
87.
Accurate Leakage Estimation for FinFET Standard Cells Using the Response Surface Methodology
机译:
使用响应表面方法的FinFET标准单元精确泄漏估计
作者:
Chaudhuri Sourindra
;
Mishra Prateek
;
Jha Niraj K.
会议名称:
《International Conference on VLSI Design》
|
2012年
关键词:
Adjusted 2D Device;
CCRD Design;
FinFETs;
Leakage Estimation;
88.
Test Planning for Core-based 3D Stacked ICs with Through-Silicon Vias
机译:
通过硅通孔的基于核心3D堆叠IC的测试规划
作者:
Gupta Breeta Sen
;
Ingelsson Urban
;
Larsson Erik
会议名称:
《International Conference on VLSI Design》
|
2012年
关键词:
3D stacked IC;
JTAG;
Test Architecture;
Test Scheduling;
Through Silicon Via;
89.
Tutorial T7B: Optimally Addressing Verification Constraint Complexity for Effective Functional Convergence
机译:
教程T7B:最佳地解决验证约束复杂性,以实现有效功能会聚
作者:
Hemmady Shankar
会议名称:
《International Conference on VLSI Design》
|
2012年
90.
Pole-Zero Analysis of Low-Dropout (LDO) Regulators: A Tutorial Overview
机译:
低压丢弃(LDO)监管机构的极零分析:教程概述
作者:
Garimella Annajirao
;
Surkanti Punith R.
;
Furth Paul M.
会议名称:
《International Conference on VLSI Design》
|
2012年
关键词:
Frequency compensation;
LHP zero;
cascode compensation;
current buffers;
low-dropout (LDO) voltage regulators;
pole-zero analysis;
power-supply rejection (PSR);
91.
3-D Parasitic Modeling for Rotary Interconnects
机译:
旋转互连的3-D寄生建模
作者:
Honkote Vinayak
;
More Ankit
;
Taskin Baris
会议名称:
《International Conference on VLSI Design》
|
2012年
关键词:
interconnects;
resonant clocking;
simulation;
92.
Embedded Tutorial ET1: Pole-Zero Analysis of Low-Dropout (LDO) Regulators: A Tutorial Overview
机译:
嵌入式教程ET1:低压丢弃(LDO)调节器的极零分析:教程概述
作者:
Garimella Annajirao
;
Surkanti Punith
;
Furth Paul M.
会议名称:
《International Conference on VLSI Design》
|
2012年
93.
Iterative Performance Model Upgradation in Geometric Programming Based Analog Circuit Sizing for Improved Design Accuracy
机译:
基于几何编程模拟电路尺寸的迭代性能模型升级,提高设计精度
作者:
Dam Samiran
;
Mandal Pradip
会议名称:
《International Conference on VLSI Design》
|
2012年
94.
Analysis of Reachable Sensitisable Paths in Sequential Circuits with SAT and Craig Interpolation
机译:
SAT和Craig插值中顺序电路可达敏感路径的分析
作者:
Sauer Matthias
;
Kupferschmid Stefan
;
Czutro Alexander
;
Reddy Sudhakar
;
Becker Bernd
会议名称:
《International Conference on VLSI Design》
|
2012年
关键词:
ATPG;
bmc;
craig;
justification;
longest path;
mc;
reachability;
sensitisable path;
sequential circuit;
small delay fault;
95.
Tutorial T4: Intellectual Property Protection and Security in System-on-Chip Design
机译:
教程T4:系统式设计中的知识产权保护和安全性
作者:
Sur-Kolay Susmita
;
Bhunia Swarup
会议名称:
《International Conference on VLSI Design》
|
2012年
96.
Externally Tested Scan Circuit with Built-In Activity Monitor and Adaptive Test Clock
机译:
外部测试扫描电路,内置活动监视器和自适应测试时钟
作者:
Shanmugasundaram Priyadharshini
;
Agrawal Vishwani D.
会议名称:
《International Conference on VLSI Design》
|
2012年
关键词:
Scan test;
adaptive test clock;
on-chip activity monitor;
test power;
test time reduction;
97.
Two Graph Based Circuit Simulator for PDE-Electrical Analogy
机译:
基于图的PDE电气类别电路模拟器
作者:
Save Yogesh Dilip
;
Narayanan H.
;
Patkar Sachin B.
会议名称:
《International Conference on VLSI Design》
|
2012年
关键词:
Circuit Simulator;
Electrical Analogy;
Iterative Cholesky;
Partial Differential Equations;
98.
A 1.25GHz 0.8W C66x DSP Core in 40nm CMOS
机译:
40nm CMOS中1.25GHz 0.8W C66X DSP核心
作者:
Damodaran Raguram
;
Anderson Timothy
;
Agarwala Sanjive
;
Venkatasubramanian Rama
;
Gill Michael
;
Gopalakrishnan Dhileep
;
Hill Anthony
;
Chachad Abhijeet
;
Balasubramanian Dheera
;
Bhoria Naveen
;
Tran Jonathan
;
Bui Duc
;
Rahman Mujibur
;
Moharil Shriram
;
Pierson Matthew
;
Mullinnix Steve
;
Ong Hung
;
Thompson David
;
Gurram Krishna
;
Olorode Oluleye
;
Mahmood Nuruddin
;
Flores Jose
;
Rajagopal Arjun
;
Narnur Soujanya
;
Wu Daniel
;
Hales Alan
;
Peavy Kyle
;
Sussman Robert
会议名称:
《International Conference on VLSI Design》
|
2012年
关键词:
DSP processor;
Multicore;
VLIW;
99.
Impact of Body Bias Based Leakage Power Reduction on Soft Error Rate
机译:
基于漏电功率降低对软错误率的影响
作者:
Sootkaneung Warin
;
Saluja Kewal K.
会议名称:
《International Conference on VLSI Design》
|
2012年
关键词:
body bias;
leakage power;
soft error;
100.
A Heuristic Method for Co-optimization of Pin Assignment and Droplet Routing in Digital Microfluidic Biochip
机译:
数字微流体生物芯片销分配和液滴路由的共同优化启发式方法
作者:
Mukherjee Ritwik
;
Rahaman Hafizur
;
Banerjee Indrajit
;
Samanta Tuhina
;
Dasgupta Parthasarathi
会议名称:
《International Conference on VLSI Design》
|
2012年
关键词:
Co-optimization heuristic;
Digital microfluidic biochip;
Droplet routing;
Pin assignment;
上一页
1
2
3
4
5
6
7
8
9
10
11
下一页
意见反馈
回到顶部
回到首页