掌桥科研
一站式科研服务平台
科技查新
收录引用
专题文献检索
外文数据库(机构版)
更多产品
首页
成为会员
我要充值
退出
我的积分:
中文会员
开通
中文文献批量获取
外文会员
开通
外文文献批量获取
我的订单
会员中心
我的包量
我的余额
登录/注册
文献导航
中文期刊
>
中文会议
>
中文学位
>
中国专利
>
外文期刊
>
外文会议
>
外文学位
>
外国专利
>
外文OA文献
>
外文科技报告
>
中文图书
>
外文图书
>
工业技术
基础科学
医药卫生
农业科学
教科文艺
经济财政
社会科学
哲学政法
其他
工业技术
基础科学
医药卫生
农业科学
教科文艺
经济财政
社会科学
哲学政法
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
马克思主义、列宁主义、毛泽东思想、邓小平理论
哲学、宗教
社会科学总论
政治、法律
军事
经济
文化、科学、教育、体育
语言、文字
文学
艺术
历史、地理
自然科学总论
数理科学和化学
天文学、地球科学
生物科学
医药、卫生
农业科学
工业技术
交通运输
航空、航天
环境科学、安全科学
综合性图书
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
美国国防部AD报告
美国能源部DE报告
美国航空航天局NASA报告
美国商务部PB报告
外军国防科技报告
美国国防部
美国参联会主席指示
美国海军
美国空军
美国陆军
美国海军陆战队
美国国防技术信息中心(DTIC)
美军标
美国航空航天局(NASA)
战略与国际研究中心
美国国土安全数字图书馆
美国科学研究出版社
兰德公司
美国政府问责局
香港科技大学图书馆
美国海军研究生院图书馆
OALIB数据库
在线学术档案数据库
数字空间系统
剑桥大学机构知识库
欧洲核子研究中心机构库
美国密西根大学论文库
美国政府出版局(GPO)
加利福尼亚大学数字图书馆
美国国家学术出版社
美国国防大学出版社
美国能源部文献库
美国国防高级研究计划局
美国陆军协会
美国陆军研究实验室
英国空军
美国国家科学基金会
美国战略与国际研究中心-导弹威胁网
美国科学与国际安全研究所
法国国际关系战略研究院
法国国际关系研究所
国际宇航联合会
美国防务日报
国会研究处
美国海运司令部
北约
盟军快速反应部队
北约浅水行动卓越中心
北约盟军地面部队司令部
北约通信信息局
北约稳定政策卓越中心
美国国会研究服务处
美国国防预算办公室
美国陆军技术手册
一般OA
科技期刊论文
科技会议论文
图书
科技报告
科技专著
标准
其它
美国卫生研究院文献
分子生物学
神经科学
药学
外科
临床神经病学
肿瘤学
细胞生物学
遗传学
公共卫生&环境&职业病
应用微生物学
全科医学
免疫学
动物学
精神病学
兽医学
心血管
放射&核医学&医学影像学
儿科
医学进展
微生物学
护理学
生物学
牙科&口腔外科
毒理学
生理学
医院管理
妇产科学
病理学
生化技术
胃肠&肝脏病学
运动科学
心理学
营养学
血液学
泌尿科学&肾病学
生物医学工程
感染病
生物物理学
矫形
外周血管病
药物化学
皮肤病学
康复学
眼科学
行为科学
呼吸学
进化生物学
老年医学
耳鼻喉科学
发育生物学
寄生虫学
病毒学
医学实验室检查技术
生殖生物学
风湿病学
麻醉学
危重病护理
生物材料
移植
医学情报
其他学科
人类生活必需品
作业;运输
化学;冶金
纺织;造纸
固定建筑物
机械工程;照明;加热;武器;爆破
物理
电学
人类生活必需品
作业;运输
化学;冶金
纺织;造纸
固定建筑物
机械工程;照明;加热;武器;爆破
物理
电学
马克思主义、列宁主义、毛泽东思想、邓小平理论
哲学、宗教
社会科学总论
政治、法律
军事
经济
文化、科学、教育、体育
语言、文字
文学
艺术
历史、地理
自然科学总论
数理科学和化学
天文学、地球科学
生物科学
医药、卫生
农业科学
工业技术
交通运输
航空、航天
环境科学、安全科学
综合性图书
主题
主题
题名
作者
关键词
摘要
高级搜索 >
外文期刊
外文会议
外文学位
外国专利
外文图书
外文OA文献
中文期刊
中文会议
中文学位
中国专利
中文图书
外文科技报告
清除
历史搜索
清空历史
首页
>
外文会议
>
International Symposium on VLSI Design, automation, and Test
International Symposium on VLSI Design, automation, and Test
召开年:
召开地:
出版时间:
-
会议文集:
-
会议论文
热门论文
全部论文
全选(
0
)
清除
导出
1.
Constraints and design approaches in analog ICs forlmplantable medical devices
机译:
模拟IC中的约束和设计方法ormplantable医疗设备
作者:
Silveira Fernando
;
Oreggioni Julian
;
Castro-Lisboa Pablo
会议名称:
《International Symposium on VLSI Design, automation, and Test》
|
2015年
关键词:
implantable devices;
ultra low power analog CMOS;
2.
A first-order low distortion sigma-delta modulator using split DWA technique and SAR quantizer
机译:
使用分割DWA技术和SAR Quantuerizer的一阶低失真Sigma-Delta调制器
作者:
Tien-Feng Hsu
;
Chun-Po Huang
;
I-Jen Chao
;
Soon-Jyh Chang
会议名称:
《International Symposium on VLSI Design, automation, and Test》
|
2015年
3.
A pliable and batteryless real-time ECG monitoring system-in-a-patch
机译:
柔韧无限的实时ECG监控系统 - 替换系统
作者:
Wu C.-C.
;
Kuo W.-C.
;
Wang H.-J.
;
Huang Y.-C.
;
Chen Y.-H.
;
Chou Y.-Y.
;
Yu S.-A.
;
Lu S.-S.
会议名称:
《International Symposium on VLSI Design, automation, and Test》
|
2015年
4.
A high-PSRR ADPLL with self-regulated GRO TDC and DCO-dedicated voltage regulator
机译:
具有自调节GRO TDC和DCO专用电压调节器的高PSRR ADPLL
作者:
Yanfeng Li
;
Yutao Liu
;
Woogeun Rhee
;
Zhihua Wang
会议名称:
《International Symposium on VLSI Design, automation, and Test》
|
2015年
5.
An effective photoplethysmography signal processing system based on EEMD method
机译:
基于EEMD方法的有效光电精出型信号处理系统
作者:
Jia-Ju Liao
;
Shang-Yi Chuang
;
Chia-Ching Chou
;
Chia-Chi Chang
;
Wai-Chi Fang
会议名称:
《International Symposium on VLSI Design, automation, and Test》
|
2015年
关键词:
FPGA;
ensemble empirical mode decomposition;
photoplethysmography;
6.
System-level test coverage prediction by structural stress test data mining
机译:
结构压力测试数据挖掘的系统级测试覆盖预测
作者:
Bing-Yang Lin
;
Cheng-Wen Wu
;
Chen Harry H.
会议名称:
《International Symposium on VLSI Design, automation, and Test》
|
2015年
关键词:
data mining;
higher-than-at-speed test;
low-voltage test;
machine learning;
structural test;
system-level test;
7.
BRAM efficient multi-ported memory on FPGA
机译:
BRAM高效的FPGA上的多端口存储器
作者:
Jiun-Liang Lin
;
Lai Bo-Cheng Charles
会议名称:
《International Symposium on VLSI Design, automation, and Test》
|
2015年
关键词:
BRAM efficient;
FPGA;
multi-ported memory;
8.
An OpenGL ES 2.0 3D graphics SoC with versatile HW/SW development support
机译:
OpenGL ES 2.0 3D图形SoC,具有多功能HW / SW开发支持
作者:
Hsu-Kang Dow
;
Ching-Hua Huang
;
Chun-Hung Lai
;
Kai-Hsiang Tsao
;
Sheng-Chih Tseng
;
Kun-Yi Wu
;
Ting-Hsuan Wu
;
Ho-Chun Yang
;
Da-Jing Zhang Jain
;
Yun-Nan Chang
;
Haga Steve W.
;
Shen-Fu Hsiao
;
Ing-Jer Huang
;
Shiann-Rong Kuang
;
Chung-Nan Lee
会议名称:
《International Symposium on VLSI Design, automation, and Test》
|
2015年
关键词:
3D Computer Graphics;
GPU;
GPU Simulator;
Multi-Core;
OpenGL ES;
Shading Language Compiler;
System-on-Chip;
9.
Improve transition fault diagnosability via observation point insertion
机译:
通过观察点插入提高过渡故障诊断性
作者:
Cheng-Hung Wu
;
Yi-Da Wang
;
Kuen-Jong Lee
会议名称:
《International Symposium on VLSI Design, automation, and Test》
|
2015年
关键词:
Fault diagnosis;
bridging faults;
distinguishing multiple types of faults;
stuck-at-faults;
10.
An OFDM-based 29.1Mbps 0.22nJ/bit body channel communication baseband transceiver
机译:
基于OFDM的29.1Mbps 0.22NJ /位体通道通信基带收发器
作者:
Ping-Yuan Tsai
;
Yu-Yun Chang
;
Shu-Yu Hsu
;
Chen-Yi Lee
会议名称:
《International Symposium on VLSI Design, automation, and Test》
|
2015年
关键词:
Body channel communication;
High energy efficiency;
High speed;
OFDM;
Uneven multilevel LINC;
11.
The LED driver IC of visible light communication with high data rate and high efficiency
机译:
具有高数据速率和高效率的可见光通信的LED驱动器IC
作者:
Yu-Chen Lee
;
Jyun-Liang Lai
;
Chueh-Hao Yu
会议名称:
《International Symposium on VLSI Design, automation, and Test》
|
2016年
关键词:
Driver Circuit;
Light-Emitting Diode;
Pre-equalizer;
Visible Light Communication;
12.
Design and implementation of a dangerous driving behavior analysis system
机译:
危险驾驶行为分析系统的设计与实现
会议名称:
《International Symposium on VLSI Design, automation, and Test》
|
2016年
13.
A smart surveillance system with multiple people detection, tracking, and behavior analysis
机译:
具有多人检测,跟踪和行为分析的智能监控系统
作者:
Chia-Jui Yang
;
Ting Chou
;
Fong-An Chang
;
Chang Ssu-Yuan
;
Jiun-In Guo
会议名称:
《International Symposium on VLSI Design, automation, and Test》
|
2016年
14.
A variable-latency, ultra-low-voltage RISC processor with a new in-situ error detection and correction technique
机译:
具有新的原位错误检测和校正技术的可变延迟超低电压RISC处理器
作者:
Chi-Chun Lin
;
Kuo-Chiang Chang
;
Chih-Wei Liu
会议名称:
《International Symposium on VLSI Design, automation, and Test》
|
2016年
关键词:
error tolerance;
in-situ error detection;
ultra-low voltage (ULV) design;
variable latency;
15.
A 7 Gb/s half-rate clock and data recovery circuit with compact control loop
机译:
具有紧凑型控制回路的7 GB / S半速率时钟和数据恢复电路
作者:
Yu-Po Cheng
;
Yen-Long Lee
;
Ming-Hung Chien
;
Soon-Jyh Chang
会议名称:
《International Symposium on VLSI Design, automation, and Test》
|
2016年
16.
A 70nW, 0.3V temperature compensation voltage reference consisting of subthreshold MOSFETs in 65nm CMOS technology
机译:
70NW,0.3V温度补偿电压参考,由65nm CMOS技术组成的亚阈值MOSFET
作者:
Ting-Chou Lu
;
Ming-Dou Ker
;
Hsiao-Wen Zan
会议名称:
《International Symposium on VLSI Design, automation, and Test》
|
2016年
关键词:
Bandgap Reference Circuit (BGR);
Native nMOS;
Subthreshold Region;
17.
A Test-per-cycle BIST architecture with low area overhead and no storage requirement
机译:
具有低面积开销的每周循环BIST架构,没有存储要求
作者:
Chung-Min Shiao
;
Wei-Cheng Lien
;
Kuen-Jong Lee
会议名称:
《International Symposium on VLSI Design, automation, and Test》
|
2016年
18.
A lithographic mask manufacturability and pattern fidelity aware OPC algorithm
机译:
光刻掩模可制造性和模式保真感知OPC算法
作者:
Ahmed Awad
;
Atsushi Takahashi
会议名称:
《International Symposium on VLSI Design, automation, and Test》
|
2016年
19.
An IR-drop guided test pattern generation technique
机译:
IR-DROP引导测试模式生成技术
作者:
Li-Chen Tsai
;
Jiun-Zong Li
;
Yi-Tsung Lin
;
Jiun-Lang Huang
;
Ann Shih
;
Zoe F. Conroy
会议名称:
《International Symposium on VLSI Design, automation, and Test》
|
2016年
20.
Automatic mixed-signal behavioral model generation environment
机译:
自动混合信号行为模型生成环境
作者:
Yun-Jing Lin
;
Meng-Jung Lee
;
Yu-Lan Lo
;
Shu-Yi Kao
会议名称:
《International Symposium on VLSI Design, automation, and Test》
|
2016年
21.
DAC linearity improvement algorithm with unit cell sorting based on magic square
机译:
基于魔术广场的单位细胞分类DAC线性改进算法
作者:
Masashi Higashino
;
Shaiful Nizam Mohyar
;
Haruo Kobayashi
会议名称:
《International Symposium on VLSI Design, automation, and Test》
|
2016年
22.
SERL: Soft error resilient latch design
机译:
SERL:软错误弹性闩锁设计
作者:
Chun-Wei Jacky Chang
;
Hsuan-Ming Ryan Huang
;
Yuwen Lin
;
Charles H. -P. Wen
会议名称:
《International Symposium on VLSI Design, automation, and Test》
|
2016年
关键词:
BISER;
SER;
SET;
SEU;
23.
Scalable mutli-layer barrier synchronization on NoC
机译:
NOC上可扩展的多层屏障同步
作者:
Yu-Lun Tseng
;
Kun-Hua Huang
;
Bo-Cheng Charles Lai
会议名称:
《International Symposium on VLSI Design, automation, and Test》
|
2016年
24.
CMOS reliability: From discrete device degradation to circuit aging
机译:
CMOS可靠性:从离散的设备劣化到电路老化
作者:
Nigam Tanya
会议名称:
《International Symposium on VLSI Design, automation, and Test》
|
2013年
25.
Microscopic degradation models for advanced technology
机译:
先进技术的显微劣化模型
作者:
Bersuker Gennadi
会议名称:
《International Symposium on VLSI Design, automation, and Test》
|
2013年
26.
The quest for a new dimension of system integration
机译:
寻求系统集成的新维度
作者:
Lee Hsien-Hsin Sean
会议名称:
《International Symposium on VLSI Design, automation, and Test》
|
2013年
27.
ARM next generation 64bit processors for power efficient compute
机译:
ARK下一代64位功率高效计算器处理器
作者:
Goodacre John
会议名称:
《International Symposium on VLSI Design, automation, and Test》
|
2013年
28.
FDSOI: A differentiator for application processors in consumer and mobile markets
机译:
FDSOI:消费者和移动市场应用处理器的差异化因素
作者:
Le-Pailleur L.
会议名称:
《International Symposium on VLSI Design, automation, and Test》
|
2013年
29.
UltraCMOS#x00AE; technology for high-performance switch paths and tunable components
机译:
Ultracmos
® sup>高性能开关路径和可调组件技术
作者:
Novak Rodd
会议名称:
《International Symposium on VLSI Design, automation, and Test》
|
2013年
30.
To 4G mobile communication and beyond
机译:
到4G移动通信及以后
作者:
Guey Jiann-Ching
会议名称:
《International Symposium on VLSI Design, automation, and Test》
|
2013年
31.
Design challenges for analog mixed signal designs
机译:
模拟和混合信号设计的设计挑战
作者:
Li Jeong-Tyng
会议名称:
《International Symposium on VLSI Design, automation, and Test》
|
2013年
32.
RF and signal processing technologies for 4G mobile networks
机译:
用于4G移动网络的RF和信号处理技术
作者:
Yamanouchi Shingo
;
Kunihiro Kazuaki
;
Hori Shinich
;
Ikekawa Masao
会议名称:
《International Symposium on VLSI Design, automation, and Test》
|
2013年
33.
Multimode multiband power amplifier optimization for mobile applications
机译:
移动应用的多模多频电功率放大器优化
作者:
Young James P
;
Cheng Nick
会议名称:
《International Symposium on VLSI Design, automation, and Test》
|
2013年
关键词:
MMMB;
Multimode;
PA;
class F;
handset;
mobile;
multiband;
power amplifier;
34.
Silicon-package-board co-design for the eye diagram prediction of a 3Gbps HDMI transmitter
机译:
硅包板Co-Design用于3Gbps HDMI发射器的眼图预测
作者:
Huang Chung-Ming
;
Guo Wei-Da
;
Shen Chia-Re
;
Tsai Chih-Chung
会议名称:
《International Symposium on VLSI Design, automation, and Test》
|
2013年
35.
In and out of the cloud
机译:
进出云端
作者:
Chang Ted
会议名称:
《International Symposium on VLSI Design, automation, and Test》
|
2013年
36.
M2M: Challenges and opportunities
机译:
M2M:挑战和机遇
作者:
Ilderem Vida
会议名称:
《International Symposium on VLSI Design, automation, and Test》
|
2013年
37.
Terahertz electronics: Opportunities, challenges and technologies
机译:
太赫兹电子产品:机遇,挑战和技术
作者:
Lee Thomas
会议名称:
《International Symposium on VLSI Design, automation, and Test》
|
2013年
38.
Innovations in healthcare and semiconductor progress
机译:
医疗保健和半导体进度的创新
作者:
Olego Diego
会议名称:
《International Symposium on VLSI Design, automation, and Test》
|
2013年
39.
Multi-processor debug in SoC and processor designs
机译:
SOC和处理器设计中的多处理器调试
作者:
Penner Bill
会议名称:
《International Symposium on VLSI Design, automation, and Test》
|
2013年
40.
Creating options for 3D-SIC testing
机译:
为3D-SIC测试创建选项
作者:
Marinissen Erik Jan
会议名称:
《International Symposium on VLSI Design, automation, and Test》
|
2013年
41.
What happens when circuits grow old: Aging issues in CMOS design
机译:
电路变老时会发生什么:CMOS设计中的老化问题
作者:
Sapatnekar Sachin S.
会议名称:
《International Symposium on VLSI Design, automation, and Test》
|
2013年
42.
Analysis and solution to overcome EOS failure induced by latchup test in a high-voltage integrated circuits
机译:
克服高压集成电路锁存试验引起的EOS故障的分析与解决方案
作者:
Tsai Hui-Wen
;
Ker Ming-Dou
;
Liu Yi-Sheng
;
Chuang Ming-Nan
会议名称:
《International Symposium on VLSI Design, automation, and Test》
|
2013年
关键词:
Latchup;
electrical overstress (EOS);
high-voltage IC;
regulator;
43.
A low-error and Rom-free logarithmic arithmetic unit for embedded 3D graphics applications
机译:
用于嵌入式3D图形应用程序的低误差和无ROM的对数算术单元
作者:
Lin Tsung-Ching
;
Chen Shin-Kai
;
Liu Chih-Wei
会议名称:
《International Symposium on VLSI Design, automation, and Test》
|
2013年
44.
A 1V 14kfps smart CMOS imager with tracking and edge-detection modes for biomedical monitoring
机译:
一个1V 14KFPS智能CMOS成像器,具有用于生物医学监控的跟踪和边缘检测模式
作者:
Yin Chin
;
Hsieh Chih-Cheng
会议名称:
《International Symposium on VLSI Design, automation, and Test》
|
2013年
45.
Power delivery network design for wiring and TSV resource minimization in TSV-based 3-D ICs
机译:
基于TSV的3-D ICS的接线和TSV资源最小化电源传递网络设计
作者:
Wei Shu-Han
;
Lee Yu-Min
;
Ho Chia-Tung
;
Sun Chih-Ting
会议名称:
《International Symposium on VLSI Design, automation, and Test》
|
2013年
46.
A low-power design methodology for sigma-delta modulators with relaxation of required circuit specifications
机译:
Sigma-Delta调制器的低功耗设计方法,可放松所需的电路规格
作者:
Hong Jia-Hua
;
Liang Ming-Chun
;
Wong Jing-Yi
;
Lee Shuenn-Yuh
会议名称:
《International Symposium on VLSI Design, automation, and Test》
|
2013年
47.
Efficient test and repair architectures for 3D TSV-based random access memories
机译:
基于3D TSV的随机访问记忆的高效测试和修复架构
作者:
Lu Shyue-Kung
;
Lu Uang-Chang
;
Pong Seng-Wen
;
Cheng Hao-Cheng
会议名称:
《International Symposium on VLSI Design, automation, and Test》
|
2013年
48.
An FPGA-based test platform for analyzing data retention time distribution of DRAMs
机译:
基于FPGA的测试平台,用于分析DRAM的数据保留时间分布
作者:
Hou Chih-Sheng
;
Li Jin-Fu
;
Lo Chih-Yen
;
Kwai Ding-Ming
会议名称:
《International Symposium on VLSI Design, automation, and Test》
|
2013年
49.
Design of thermal management unit with vertical throttling scheme for proactive thermal-aware 3D NoC systems
机译:
具有主动热感知3D NOC系统垂直节流方案的热管理单元设计
作者:
Chen Kun-Chih
;
Lin Shu-Yen
;
Wu An-Yeu
会议名称:
《International Symposium on VLSI Design, automation, and Test》
|
2013年
50.
A novel processor design flow using processor description language applied to a vector coprocessor
机译:
使用处理器描述语言应用于向量协处理器的新型处理器设计流程
作者:
Ito Makiko
;
Tomono Mitsuru
;
Ge Yi
;
Takebe Yoshimasa
会议名称:
《International Symposium on VLSI Design, automation, and Test》
|
2013年
51.
A 180 MHz direct access read 4.6Mb embedded flash in 90nm technology operating under wide range power supply from 2.1V to 3.6V
机译:
180 MHz直接接入读取4.6MB嵌入式闪光灯在90nm技术下,在宽范围电源下运行2.1V至3.6V
作者:
Yu Hung-Chang
;
Lin Ku-Feng
;
Lin Kai-Chun
;
Chih Yu-Der
会议名称:
《International Symposium on VLSI Design, automation, and Test》
|
2013年
52.
Novel test analysis to improve structural coverage — A commercial experiment
机译:
提高结构覆盖的新型试验分析 - 商业实验
作者:
Chen Wen
;
Wang Li-C.
;
Bhadra Jayanta
;
Abadir Magdy S.
会议名称:
《International Symposium on VLSI Design, automation, and Test》
|
2013年
53.
A configurable bus-tracer for error reproduction in post-silicon validation
机译:
用于后硅验证中的错误再现的可配置总线示踪器
作者:
Chen Shing-Yu
;
Hsiao Ming-Yi
;
Jone Wen-Ben
;
Chen Tien-Fu
会议名称:
《International Symposium on VLSI Design, automation, and Test》
|
2013年
54.
A wideband programmable-gain amplifier for 60GHz applications in 65nm CMOS
机译:
用于65nm CMOS的60GHz应用程序的宽带可编程增益放大器
作者:
Hsieh Yi-Keng
;
Hsieh Hsieh-Hung
;
Lu Liang-Hung
会议名称:
《International Symposium on VLSI Design, automation, and Test》
|
2013年
55.
A 0.5V/1.0V fast lock-in ADPLL for DVFS battery-powered devices
机译:
用于DVFS电池供电设备的0.5V / 1.0V快速锁定ADPLL
作者:
Chung Ching-Che
;
Sheng Duo
;
Su Wei-Siang
会议名称:
《International Symposium on VLSI Design, automation, and Test》
|
2013年
56.
A practical NoC design for parallel DES computation
机译:
并行DES计算的实用NOC设计
作者:
Yuan R.
;
Ruan S.-J.
;
Gotze J.
会议名称:
《International Symposium on VLSI Design, automation, and Test》
|
2013年
57.
A versatile data cache for trace buffer support
机译:
用于跟踪缓冲区支持的多功能数据缓存
作者:
Lai Chun-Hung
;
Yang Yun-Chung
;
Huang Ing-Jer
会议名称:
《International Symposium on VLSI Design, automation, and Test》
|
2013年
58.
A background calibration technique for fully dynamic flash ADCs
机译:
全动态闪光ADC的背景校准技术
作者:
Shu Yun-Shiang
;
Tsai Jui-Yuan
;
Chen Ping
;
Lo Tien-Yu
会议名称:
《International Symposium on VLSI Design, automation, and Test》
|
2013年
59.
Jitter error cancellation technique in digital domain for ADC
机译:
ADC数字域中的抖动错误取消技术
作者:
Lin Chin-Yu
;
Lee Tai-Cheng
会议名称:
《International Symposium on VLSI Design, automation, and Test》
|
2013年
关键词:
ADC;
SNR;
TDC;
derivative;
jitter error cancellation;
jitter requirement;
period jitter;
sampling process;
60.
A distributed thread scheduler for dynamic multithreading on throughput processors
机译:
吞吐量处理器上动态多线程的分布式线程调度程序
作者:
Yen Ta-Kan
;
Kuo Hsien-Kai
;
Lai Bo-Cheng Charles
会议名称:
《International Symposium on VLSI Design, automation, and Test》
|
2013年
61.
A real-time parallel scalable video encoder for multimedia streaming systems
机译:
用于多媒体流系统的实时并行可伸缩视频编码器
作者:
Jian Guo-An
;
Lee Jui-Sheng
;
Tan Kheng-Joo
;
Chen Peng-Sheng
会议名称:
《International Symposium on VLSI Design, automation, and Test》
|
2013年
关键词:
Scalable Video Coding (SVC);
parallel programming;
real-time encoder;
streaming system;
62.
A layout-aware automatic sizing approach for retargeting analog integrated circuits
机译:
用于复靶模拟集成电路的布局感知自动大小尺寸方法
作者:
Chen Yen-Lung
;
Ding Yi-Ching
;
Liao Yu-Ching
;
Chang Hsin-Ju
会议名称:
《International Symposium on VLSI Design, automation, and Test》
|
2013年
63.
A 5.2–11.8MHz octa-phase relaxation oscillator for 8-PSK FM-UWB transceiver systems
机译:
用于8-PSK FM-UWB收发器系统的5.2-11.8MHz Octa相弛豫振荡器
作者:
Lv Hang
;
Zhou Bo
;
Liu Dang
;
Rhee Woogeun
会议名称:
《International Symposium on VLSI Design, automation, and Test》
|
2013年
64.
A sub-GHz mostly digital impulse radio UWB transceiver for wireless body sensor networks
机译:
用于无线体传感器网络的子GHz主要是数字脉冲无线电UWB收发器
作者:
Wang Lei
;
Heng Chun Huat
;
Lian Yong
会议名称:
《International Symposium on VLSI Design, automation, and Test》
|
2013年
65.
A novel on-chip current-sensing structure for current-mode DC-DC converter
机译:
用于电流模式DC-DC转换器的新型片上电流检测结构
作者:
Wang Hongyi
;
Hu Xi
;
Liu Quanfeng
;
Zhao Gangdong
会议名称:
《International Symposium on VLSI Design, automation, and Test》
|
2013年
关键词:
Compensation ramp;
Current-mode control;
DC-DC converter;
High-speed current-sensing;
PVTL;
66.
Time-domain analog-to-digital converters with domino delay lines
机译:
具有Domino延迟线的时域模数转换器
作者:
Lai Chang-Ming
;
Chen Yi-Chung
;
Huang Po-Chiun
会议名称:
《International Symposium on VLSI Design, automation, and Test》
|
2013年
关键词:
Analog-to-digital converters;
domino delay line;
time-domain analog-to-digital converters;
67.
Efficient techniques for canceling transceiver noise
机译:
用于取消收发器噪声的高效技术
作者:
Chang Eric
;
Liu Frankie
;
Amberg Philip
;
Lexau Jon
会议名称:
《International Symposium on VLSI Design, automation, and Test》
|
2013年
68.
Reducing computation redundancy for high-efficiency view synthesis
机译:
降低高效视图合成的计算冗余
作者:
Chen Kuan-Hung
会议名称:
《International Symposium on VLSI Design, automation, and Test》
|
2013年
69.
Sensorless dead-time exploration for digitally controlled switching converters
机译:
用于数字控制的开关转换器的无传感器死区探索
作者:
Yeh Bo-Ting
;
Yang Chun-Hung
;
Juang Kai-Cheung
;
Tsai Chien-Hung
会议名称:
《International Symposium on VLSI Design, automation, and Test》
|
2013年
关键词:
DC-DC converter;
dead-time;
digital control;
70.
MIMO fingerprinting-based particle filter for mobile positioning systems
机译:
用于移动定位系统的MIMO指纹颗粒滤波器
作者:
Chuang Mu-Hsuan
;
Lo Yi-Hao
;
Wu Bo-Yi
;
Huang Yuan-Hao
会议名称:
《International Symposium on VLSI Design, automation, and Test》
|
2013年
71.
Real-time salient object detection engine for high definition videos
机译:
高清视频的实时突出物体检测引擎
作者:
Fu Yu-Jie
;
Wu Guan-Lin
;
Chien Shao-Yi
会议名称:
《International Symposium on VLSI Design, automation, and Test》
|
2013年
72.
Cross-layer dynamic prefetching allocation strategies for high-performance multicores
机译:
高性能多设备的跨层动态预取分配策略
作者:
Peng Yin-Chi
;
Chen Chien-Chih
;
Chang Chia-Jung
;
Chen Tien-Fu
会议名称:
《International Symposium on VLSI Design, automation, and Test》
|
2013年
73.
Energy-efficient architecture for word-based Montgomery modular multiplication algorithm
机译:
基于Word的蒙哥马利模块化乘法算法的节能架构
作者:
Ye Jheng-Hao
;
Hung Tsung-Wei
;
Shieh Ming-Der
会议名称:
《International Symposium on VLSI Design, automation, and Test》
|
2013年
74.
Graph-based optimal reactant minimization for sample preparation on digital microfluidic biochips
机译:
基于图的最佳反应物最小化用于数字微流体生物芯片的样品制备
作者:
Chiang Ting-Wei
;
Liu Chia-Hung
;
Huang Juinn-Dar
会议名称:
《International Symposium on VLSI Design, automation, and Test》
|
2013年
75.
Automatic adaptive multi-point moment matching for descriptor system model order reduction
机译:
用于描述符系统模型顺序的自动自适应多点匹配
作者:
Zhao Wenhui
;
Pang Grantham K.H.
;
Wong Ngai
会议名称:
《International Symposium on VLSI Design, automation, and Test》
|
2013年
76.
Thermal coupling aware task migration using neighboring core search for many-core systems
机译:
热耦合感知任务迁移使用邻近核心搜索许多核心系统
作者:
Mizunuma Hitoshi
;
Lu Yi-Chang
;
Yang Chia-Lin
会议名称:
《International Symposium on VLSI Design, automation, and Test》
|
2013年
77.
Current-mirror miller compensation: An improved frequency compensation technique for two-stage amplifiers
机译:
电流镜米勒补偿:两级放大器的改进频率补偿技术
作者:
Tan Min
;
Ki Wing-Hung
会议名称:
《International Symposium on VLSI Design, automation, and Test》
|
2013年
78.
Aging-aware statistical soft-error-rate analysis for nano-scaled CMOS designs
机译:
纳米缩放CMOS设计的老化感知统计软错误速率分析
作者:
Lin Cosette Y.H.
;
Huang Ryan H.-M.
;
Wen Charles H.-P.
;
Chang Austin C.-C.
会议名称:
《International Symposium on VLSI Design, automation, and Test》
|
2013年
79.
An efficient deadlock-free multicast routing algorithm for mesh-based networks-on-chip
机译:
基于网状网络的无功耦合组播路由算法
作者:
Lee Kuen-Jong
;
Chang Chin-Yao
;
Yang Hung-Yang
会议名称:
《International Symposium on VLSI Design, automation, and Test》
|
2013年
80.
A 0.3 V low-power temperature-insensitive ring oscillator in 90 nm CMOS process
机译:
90 nm CMOS工艺中的0.3V低功耗温度不敏感环形振荡器
作者:
Ho Yingchieh
;
Li Katherine Shu-Min
;
Wang Sying-Jyan
会议名称:
《International Symposium on VLSI Design, automation, and Test》
|
2013年
81.
A 6.4 Gb/s source synchronous receiver core with variable offset equalizer in 65nm CMOS
机译:
6.4 GB / S源同步接收器内核,可变偏移均衡器在65nm CMOS中
作者:
Yu Kunzhi
;
Zheng Xuqiang
;
Huang Ke
;
Xuan Ma
会议名称:
《International Symposium on VLSI Design, automation, and Test》
|
2013年
82.
A 4.0/7.5-GHz dual-band LC VCO in 0.18-#x03BC;m SiGe BiCMOS technology
机译:
4.0 / 7.5-GHz双频LC VCO在0.18 - μ m sige bicmos技术
作者:
Jain Sanjeev
;
Jang Sheng-Lyang
;
Juang Miin-Horng
会议名称:
《International Symposium on VLSI Design, automation, and Test》
|
2013年
关键词:
BiCMOS;
dual-band voltage-controlled oscillator;
parallel resonant resonator;
series-tuned LC resonator;
83.
A low-power dual-mode continuous-time delta-sigma modulator with a folded quantizer
机译:
具有折叠量化器的低功耗双模连续时间Δ-Sigma调制器
作者:
Lin Chen-Chien
;
Weng Chan-Hsiang
;
Lin Tsung-Hsien
会议名称:
《International Symposium on VLSI Design, automation, and Test》
|
2013年
84.
A Cycle Count Accurate TLM bus modeling approach
机译:
一个循环计数准确的TLM总线建模方法
作者:
Li Mao-Lin
;
Lo Chen-Kang
;
Chen Li-Chun
;
Yeh Jen-Chieh
会议名称:
《International Symposium on VLSI Design, automation, and Test》
|
2013年
85.
A fast-locking wide-range all-digital delay-locked loop with a starting SAR-bit prediction mechanism
机译:
一种快速锁定的宽范围全数字延迟锁定环,具有启动SAR位预测机制
作者:
Yao Chia-Yu
;
Ho Yung-Hsiang
会议名称:
《International Symposium on VLSI Design, automation, and Test》
|
2013年
关键词:
all-digital delay-locked loop (ADDLL);
starting SAR-bit prediction;
successive approximation register (SAR);
86.
A view scalable multi-view video decoder system
机译:
一个视图可伸缩的多视图视频解码器系统
作者:
Lee Jui-Sheng
;
Miao Yuan-Hsiang
;
Chien Cheng-An
;
Chang Hsiu-Cheng
会议名称:
《International Symposium on VLSI Design, automation, and Test》
|
2013年
87.
A successive approximation ADC with resistor-capacitor hybrid structure
机译:
具有电阻电容器混合结构的连续近似ADC
作者:
Chen Ting-Zi
;
Chang Soon-Jyh
;
Huang Guan-Ying
会议名称:
《International Symposium on VLSI Design, automation, and Test》
|
2013年
88.
MVSE: A Multi-core Video decoder System level analytics Engine
机译:
MVSE:多核视频解码器系统级分析引擎
作者:
Chen Ding-Yun
;
Ju Chi-Cheng
;
Ho Chen-Tsai
;
Tsai Chung-Hung
会议名称:
《International Symposium on VLSI Design, automation, and Test》
|
2013年
89.
A 3-GS/s 5-bit Flash ADC with wideband input buffer amplifier
机译:
具有宽带输入缓冲放大器的3GS / S 5位闪存ADC
作者:
Matsuno Junya
;
Hosoya Masahiro
;
Furuta Masanori
;
Itakura Tetsuro
会议名称:
《International Symposium on VLSI Design, automation, and Test》
|
2013年
90.
A high effieciency DC/DC boost regulator with adaptive off/on-time control
机译:
高效直流/直流升压调节器,采用自适应关闭/按时控制
作者:
Wang Chen-Yu
;
Guo Jhih-Sian
;
Huang Chi-Yuan
;
Tsai Chien-Hung
会议名称:
《International Symposium on VLSI Design, automation, and Test》
|
2013年
关键词:
Adaptive off/on-time;
Boost;
DC/DC converter;
91.
Enabling inter-die co-optimization in 3-D IC with TSVs
机译:
通过TSVS实现三维IC中的模具间协同优化
作者:
Lin Chang-Tzu
;
Tseng Tsu-Wei
;
Chou Yung-Fa
;
Lee Chia-Hsin
会议名称:
《International Symposium on VLSI Design, automation, and Test》
|
2013年
关键词:
electronic design automation (EDA);
inter-die co-optimization;
three-dimensional integrated circuit (3-D IC);
through-silicon via (TSV);
92.
A case study: 3-D stacked memory system architecture exploration by ESL virtual platform
机译:
案例研究:ESL虚拟平台的3-D堆叠内存系统架构
作者:
Hsieh Hsien-Ching
;
Lin Shr-Je
;
Liu Chun-Nan
;
Yeh Jen-Chieh
会议名称:
《International Symposium on VLSI Design, automation, and Test》
|
2013年
93.
A low-power delay-recycled all-digital duty-cycle corrector with unbalanced process variations tolerance
机译:
低功耗延迟再循环的全数字占空比校正器,具有不平衡的过程变化公差
作者:
Chung Ching-Che
;
Li Chang-Jun
会议名称:
《International Symposium on VLSI Design, automation, and Test》
|
2013年
94.
Hybrid path-diversity-aware adaptive routing with latency prediction model in Network-on-Chip systems
机译:
Hybrid路径 - 多样性感知自适应路由与芯片系统网络系统中的延迟预测模型
作者:
Tsai Po-An
;
Kuo Yu-Hsin
;
Chang En-Jui
;
Hsin Hsien-Kai
会议名称:
《International Symposium on VLSI Design, automation, and Test》
|
2013年
关键词:
Adaptive routing;
network-on-chip;
path diversity;
prediction model;
95.
A 55-nm, 0.86-Volt operation, 75MHz high speed, 96uA/MHz low power, wide voltage supply range 2M-bit split-gate embedded Flash
机译:
55nm,0.86伏操作,75MHz高速,96uA / MHz低功耗,宽电压供应范围2M位分体式嵌入式闪光灯
作者:
Cho Caleb Y-S
;
Wang J C
;
Huang Lion
;
Weng Milo
会议名称:
《International Symposium on VLSI Design, automation, and Test》
|
2013年
96.
Improving and optimizing reliability in future technologies with high-#x03BA; dielectrics
机译:
用高&#x03ba改善和优化未来技术的可靠性; 电介质
作者:
Linder Barry P.
;
Cartier E.
;
Krishnan S.
;
Wu E.
会议名称:
《International Symposium on VLSI Design, automation, and Test》
|
2013年
97.
Worst-case IR-drop monitoring with 1GHz sampling rate
机译:
最坏情况下的IR-DROP监控,具有1GHz采样率
作者:
Hsu Chen-Hsiang
;
Huang Shi-Yu
;
Kwai Ding-Ming
;
Chou Yung-Fa
会议名称:
《International Symposium on VLSI Design, automation, and Test》
|
2013年
关键词:
IR-drop;
Maximum Clock Period Measurement;
On-Chip Monitoring;
Process Calibration;
Sampling Rate;
98.
A fast and accurate instruction-oriented processor simulation approach
机译:
一种快速准确的教学导向处理器仿真方法
作者:
Lin Pei-Chia Patty
;
Du Evason
;
Tsay Ren-Song
会议名称:
《International Symposium on VLSI Design, automation, and Test》
|
2013年
99.
On the futility of thermal through-silicon-vias
机译:
关于热通过硅通孔的无用
作者:
Chou Chung-Han
;
Tsai Nien-Yu
;
Yu Hao
;
Shi Yiyu
会议名称:
《International Symposium on VLSI Design, automation, and Test》
|
2013年
100.
Power and area reduction in multi-stage addition using operand segmentation
机译:
使用操作数分割的多级添加功率和面积减少
作者:
Chan Ching-Da
;
Liu Wei-Chang
;
Yang Chia-Hsiang
;
Jou Shyh-Jye
会议名称:
《International Symposium on VLSI Design, automation, and Test》
|
2013年
意见反馈
回到顶部
回到首页