掌桥科研
一站式科研服务平台
科技查新
收录引用
专题文献检索
外文数据库(机构版)
更多产品
首页
成为会员
我要充值
退出
我的积分:
中文会员
开通
中文文献批量获取
外文会员
开通
外文文献批量获取
我的订单
会员中心
我的包量
我的余额
登录/注册
文献导航
中文期刊
>
中文会议
>
中文学位
>
中国专利
>
外文期刊
>
外文会议
>
外文学位
>
外国专利
>
外文OA文献
>
外文科技报告
>
中文图书
>
外文图书
>
工业技术
基础科学
医药卫生
农业科学
教科文艺
经济财政
社会科学
哲学政法
其他
工业技术
基础科学
医药卫生
农业科学
教科文艺
经济财政
社会科学
哲学政法
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
马克思主义、列宁主义、毛泽东思想、邓小平理论
哲学、宗教
社会科学总论
政治、法律
军事
经济
文化、科学、教育、体育
语言、文字
文学
艺术
历史、地理
自然科学总论
数理科学和化学
天文学、地球科学
生物科学
医药、卫生
农业科学
工业技术
交通运输
航空、航天
环境科学、安全科学
综合性图书
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
美国国防部AD报告
美国能源部DE报告
美国航空航天局NASA报告
美国商务部PB报告
外军国防科技报告
美国国防部
美国参联会主席指示
美国海军
美国空军
美国陆军
美国海军陆战队
美国国防技术信息中心(DTIC)
美军标
美国航空航天局(NASA)
战略与国际研究中心
美国国土安全数字图书馆
美国科学研究出版社
兰德公司
美国政府问责局
香港科技大学图书馆
美国海军研究生院图书馆
OALIB数据库
在线学术档案数据库
数字空间系统
剑桥大学机构知识库
欧洲核子研究中心机构库
美国密西根大学论文库
美国政府出版局(GPO)
加利福尼亚大学数字图书馆
美国国家学术出版社
美国国防大学出版社
美国能源部文献库
美国国防高级研究计划局
美国陆军协会
美国陆军研究实验室
英国空军
美国国家科学基金会
美国战略与国际研究中心-导弹威胁网
美国科学与国际安全研究所
法国国际关系战略研究院
法国国际关系研究所
国际宇航联合会
美国防务日报
国会研究处
美国海运司令部
北约
盟军快速反应部队
北约浅水行动卓越中心
北约盟军地面部队司令部
北约通信信息局
北约稳定政策卓越中心
美国国会研究服务处
美国国防预算办公室
美国陆军技术手册
一般OA
科技期刊论文
科技会议论文
图书
科技报告
科技专著
标准
其它
美国卫生研究院文献
分子生物学
神经科学
药学
外科
临床神经病学
肿瘤学
细胞生物学
遗传学
公共卫生&环境&职业病
应用微生物学
全科医学
免疫学
动物学
精神病学
兽医学
心血管
放射&核医学&医学影像学
儿科
医学进展
微生物学
护理学
生物学
牙科&口腔外科
毒理学
生理学
医院管理
妇产科学
病理学
生化技术
胃肠&肝脏病学
运动科学
心理学
营养学
血液学
泌尿科学&肾病学
生物医学工程
感染病
生物物理学
矫形
外周血管病
药物化学
皮肤病学
康复学
眼科学
行为科学
呼吸学
进化生物学
老年医学
耳鼻喉科学
发育生物学
寄生虫学
病毒学
医学实验室检查技术
生殖生物学
风湿病学
麻醉学
危重病护理
生物材料
移植
医学情报
其他学科
人类生活必需品
作业;运输
化学;冶金
纺织;造纸
固定建筑物
机械工程;照明;加热;武器;爆破
物理
电学
人类生活必需品
作业;运输
化学;冶金
纺织;造纸
固定建筑物
机械工程;照明;加热;武器;爆破
物理
电学
马克思主义、列宁主义、毛泽东思想、邓小平理论
哲学、宗教
社会科学总论
政治、法律
军事
经济
文化、科学、教育、体育
语言、文字
文学
艺术
历史、地理
自然科学总论
数理科学和化学
天文学、地球科学
生物科学
医药、卫生
农业科学
工业技术
交通运输
航空、航天
环境科学、安全科学
综合性图书
主题
主题
题名
作者
关键词
摘要
高级搜索 >
外文期刊
外文会议
外文学位
外国专利
外文图书
外文OA文献
中文期刊
中文会议
中文学位
中国专利
中文图书
外文科技报告
清除
历史搜索
清空历史
首页
>
外文会议
>
2011 14th IEEE Symposium on design and Diagnostics of Electronic Circuits and Systems
2011 14th IEEE Symposium on design and Diagnostics of Electronic Circuits and Systems
召开年:
召开地:
出版时间:
-
会议文集:
-
会议论文
热门论文
全部论文
全选(
0
)
清除
导出
1.
Title page
机译:
封面
会议名称:
《2011 14th IEEE Symposium on design and Diagnostics of Electronic Circuits and Systems》
|
2011年
2.
Copyright page
机译:
版权页
会议名称:
《2011 14th IEEE Symposium on design and Diagnostics of Electronic Circuits and Systems》
|
2011年
3.
Foreword to the 14
th
IEEE DDECS symposium
机译:
第14届IEEE DDECS研讨会的序言
作者:
Kraemer Rolf
;
Pawlak Adam
;
Steininger Andreas
;
Scholzel Mario
;
Raik Jaan
;
Vierhaus Heinrich T.
会议名称:
《2011 14th IEEE Symposium on design and Diagnostics of Electronic Circuits and Systems》
|
2011年
4.
Design technology and the cloud
机译:
设计技术与云
作者:
Camposano Raul
会议名称:
《2011 14th IEEE Symposium on design and Diagnostics of Electronic Circuits and Systems》
|
2011年
5.
Cost effective scaling to 22nm and below technology nodes
机译:
经济有效地扩展至22nm及以下技术节点
作者:
Strojwas Andrzej J.
会议名称:
《2011 14th IEEE Symposium on design and Diagnostics of Electronic Circuits and Systems》
|
2011年
6.
Future of EDA: Usual suspect or silent hero for successful semiconductor business?
机译:
EDA的未来:成功的半导体业务通常是可疑的还是沉默的英雄?
作者:
Alt Jurgen
会议名称:
《2011 14th IEEE Symposium on design and Diagnostics of Electronic Circuits and Systems》
|
2011年
7.
SiGe BiCMOS platform - baseline technology for More Than Moore process module integration
机译:
SiGe BiCMOS平台-超过摩尔制程模块集成的基准技术
作者:
Tillack Bernd
会议名称:
《2011 14th IEEE Symposium on design and Diagnostics of Electronic Circuits and Systems》
|
2011年
8.
Testing and design-for-testability solutions for 3D integrated circuits
机译:
3D集成电路的测试和可测试性解决方案
作者:
Chakrabarty Krishnendu
会议名称:
《2011 14th IEEE Symposium on design and Diagnostics of Electronic Circuits and Systems》
|
2011年
9.
Small scale energy harvesting - principles, practices and future trends
机译:
小规模能量收集-原理,实践和未来趋势
作者:
Ha Dong S.
会议名称:
《2011 14th IEEE Symposium on design and Diagnostics of Electronic Circuits and Systems》
|
2011年
10.
Sponsors
机译:
赞助商
会议名称:
《2011 14th IEEE Symposium on design and Diagnostics of Electronic Circuits and Systems》
|
2011年
11.
Introduction to the SystemC AMS extension standard
机译:
SystemC AMS扩展标准简介
作者:
Einwich Karsten
会议名称:
《2011 14th IEEE Symposium on design and Diagnostics of Electronic Circuits and Systems》
|
2011年
关键词:
Analogue Mixed Signal;
ESL;
System level design;
SystemC-AMS;
12.
TTTC: Test Technology Technical Council
机译:
TTTC:测试技术委员会
会议名称:
《2011 14th IEEE Symposium on design and Diagnostics of Electronic Circuits and Systems》
|
2011年
13.
Decreasing test time by scan chain reorganization
机译:
通过扫描链重组减少测试时间
作者:
Bartos Pavel
;
Kotasek Zdenek
;
Dohnal Jan
会议名称:
《2011 14th IEEE Symposium on design and Diagnostics of Electronic Circuits and Systems》
|
2011年
14.
Hardware efficient design of Variable Length FFT Processor
机译:
可变长度FFT处理器的硬件高效设计
作者:
Gautam Vinay
;
Ray Kailash Chandra
;
Haddow1 Pauline
会议名称:
《2011 14th IEEE Symposium on design and Diagnostics of Electronic Circuits and Systems》
|
2011年
关键词:
Dynamic Address Generator;
FFT;
OFDM;
Pipelined CORDIC;
15.
Effective hash-based IPv6 longest prefix match
机译:
有效的基于哈希的IPv6最长前缀匹配
作者:
Tobola Jiri
;
Korenek Jan
会议名称:
《2011 14th IEEE Symposium on design and Diagnostics of Electronic Circuits and Systems》
|
2011年
关键词:
IP look-up;
IPv6;
longest prefix match;
16.
Increasing the efficiency of analog OBIST using on-chip compensation of technology variations
机译:
利用片上技术补偿来提高模拟OBIST的效率
作者:
Arbet Daniel
;
Brenkus Juraj
;
Gyepes Gabor
;
Stopjakova Viera
会议名称:
《2011 14th IEEE Symposium on design and Diagnostics of Electronic Circuits and Systems》
|
2011年
关键词:
catastrophic and parametric faults;
fault detection;
mixed-signal test;
oscillation test method;
technology variations;
17.
Decoupling capacitance boosting for on-chip resonant supply noise reduction
机译:
去耦电容提升可降低片上谐振电源噪声
作者:
Kim Jinmyoung
;
Nakura Toru
;
Takata Hidehiro
;
Ishibashi Koichiro
;
Ikeda Makoto
;
Asada Kunihiro
会议名称:
《2011 14th IEEE Symposium on design and Diagnostics of Electronic Circuits and Systems》
|
2011年
18.
A 5Gb/s equalizer for USB 3.0 receiver in 65 nm CMOS technology
机译:
用于65 nm CMOS技术的USB 3.0接收器的5Gb / s均衡器
作者:
Kopanski Jakub
;
Pleskacz Witold A.
;
Pienkowski Dariusz
会议名称:
《2011 14th IEEE Symposium on design and Diagnostics of Electronic Circuits and Systems》
|
2011年
19.
Fault tolerance of SRAM-based FPGA via configuration frames
机译:
通过配置框架实现基于SRAM的FPGA的容错
作者:
Lahrach Farid
;
Doumar Abderrahim
;
Chatelet Eric
会议名称:
《2011 14th IEEE Symposium on design and Diagnostics of Electronic Circuits and Systems》
|
2011年
关键词:
SRAM cells;
SRAM-based FPGA;
configuration frames;
fault tolerance;
20.
A new hierarchical built-in self-test with on-chip diagnosis for VLIW processors
机译:
针对VLIW处理器的新的分层内置自检功能,具有片上诊断功能
作者:
Ulbricht Markus
;
Scholzel Mario
;
Koal Tobias
;
Vierhaus Heinrich Theodor
会议名称:
《2011 14th IEEE Symposium on design and Diagnostics of Electronic Circuits and Systems》
|
2011年
关键词:
Diagnostic Self-Test;
In-The-Field;
SBST;
21.
A chaos-based pseudo-random bit generator implemented in FPGA device
机译:
在FPGA器件中实现的基于混沌的伪随机位生成器
作者:
Dabal Pawel
;
Pelka Ryszard
会议名称:
《2011 14th IEEE Symposium on design and Diagnostics of Electronic Circuits and Systems》
|
2011年
关键词:
cryptographic security;
logistic map;
nonlinear chaotic dynamic system;
pseudo-random bit generator;
22.
Software defined radio - design and implementation of complete platform
机译:
软件无线电-完整平台的设计和实现
作者:
Pawlowski P.
;
Dabrowski A.
;
Skrzypek P.
;
Roszak P.
;
Palejko A.
;
Walenciak T.
;
Mor M.
会议名称:
《2011 14th IEEE Symposium on design and Diagnostics of Electronic Circuits and Systems》
|
2011年
关键词:
DSP;
FPGA;
RF;
diversity receiver;
embedded systems;
receiver;
software defined radio;
23.
Influence of parasitic memory effect on single-cell faults in SRAMs
机译:
寄生存储器效应对SRAM中单单元故障的影响
作者:
Irobi Sandra
;
Al-Ars Zaid
;
Hamdioui Said
;
Renovell Michel
会议名称:
《2011 14th IEEE Symposium on design and Diagnostics of Electronic Circuits and Systems》
|
2011年
关键词:
Parasitic memory effect;
SRAMs;
static faults;
24.
Behavioral model of TRNG based on oscillator rings implemented in FPGA
机译:
基于FPGA的振子环TRNG行为模型。
作者:
Wold Knut
;
Petrovic Slobodan
会议名称:
《2011 14th IEEE Symposium on design and Diagnostics of Electronic Circuits and Systems》
|
2011年
25.
Hybrid Simulation Environment for rapid MSP430 system design test and validation using MSPsim and SystemC
机译:
混合仿真环境,用于使用MSPsim和SystemC快速进行MSP430系统设计测试和验证
作者:
Stecklina Oliver
;
Vater Frank
;
Basmer Thomas
;
Bergmann Erik
;
Menzel Hannes
会议名称:
《2011 14th IEEE Symposium on design and Diagnostics of Electronic Circuits and Systems》
|
2011年
26.
Indirect detection of clock skew induced hold-time violations on functional paths using scan shift operations
机译:
使用扫描移位操作间接检测时钟偏移引起的功能路径上的保持时间违规
作者:
Iwagaki Tsuyoshi
;
Saluja Kewal K.
会议名称:
《2011 14th IEEE Symposium on design and Diagnostics of Electronic Circuits and Systems》
|
2011年
27.
Decomposition of multi-output logic function in Reed-Muller spectral domain
机译:
Reed-Muller谱域中多输出逻辑功能的分解
作者:
Kolodzinski Stefan
;
Hrynkiewicz Edward
会议名称:
《2011 14th IEEE Symposium on design and Diagnostics of Electronic Circuits and Systems》
|
2011年
关键词:
Boolean Differential Calculus;
FPGA;
Multi-output logic functions;
Reed-Muller spectrum;
disjoint and non-disjoint decomposition;
28.
Receiver OEIC using a bipolar translinear loop
机译:
使用双极跨线性环路的接收器OEIC
作者:
Marchlewski A.
;
Zimmermann H.
;
Jonak-Auer I.
;
Wachmann E.
会议名称:
《2011 14th IEEE Symposium on design and Diagnostics of Electronic Circuits and Systems》
|
2011年
关键词:
OEIC;
PIN photodiode;
POF;
optical receiver;
translinear loop;
29.
DODT: Increasing requirements formalism using domain ontologies for improved embedded systems development
机译:
DODT:使用领域本体来提高需求形式化以改进嵌入式系统开发
作者:
Farfeleder Stefan
;
Moser Thomas
;
Krall Andreas
;
Stalhane Tor
;
Zojer Herbert
;
Panis Christian
会议名称:
《2011 14th IEEE Symposium on design and Diagnostics of Electronic Circuits and Systems》
|
2011年
30.
Abstract modeling and simulation based selective estimation
机译:
基于抽象建模和仿真的选择性估计
作者:
Zaidi Yaseen
;
Adhikari Sumit
;
Grimm Christoph
会议名称:
《2011 14th IEEE Symposium on design and Diagnostics of Electronic Circuits and Systems》
|
2011年
31.
Fast just-in-time translated simulator for ASIP design
机译:
快速的ASIP设计即时翻译模拟器
作者:
Prikryl Zdenek
;
Kroustek Jakub
;
Hruska Tomas
;
Kolar Dusan
会议名称:
《2011 14th IEEE Symposium on design and Diagnostics of Electronic Circuits and Systems》
|
2011年
关键词:
application-specific instruction set processors;
architecture description languages;
simulation;
testing;
32.
CAD tool for PLL Design
机译:
PLL设计的CAD工具
作者:
Siwiec Krzysztof
;
Borejko Tomasz
;
Pleskacz Witold A.
会议名称:
《2011 14th IEEE Symposium on design and Diagnostics of Electronic Circuits and Systems》
|
2011年
33.
Verification of JPEG2000 encoder based on rate and distortion curve analysis
机译:
基于速率和失真曲线分析的JPEG2000编码器验证
作者:
Modrzyk Damian
;
Staworko Michal
会议名称:
《2011 14th IEEE Symposium on design and Diagnostics of Electronic Circuits and Systems》
|
2011年
关键词:
FPGA;
JPEG2000 encoder;
PSNR;
SFM;
verification;
34.
Failure probability of SRAM-FPGA systems with Stochastic Activity Networks
机译:
具有随机活动网络的SRAM-FPGA系统的故障概率
作者:
Bernardeschi Cinzia
;
Cassano Luca
;
Domenici Andrea
会议名称:
《2011 14th IEEE Symposium on design and Diagnostics of Electronic Circuits and Systems》
|
2011年
关键词:
Failure Probability;
SRAM-FPGA;
Simulation;
Single Event Upset;
35.
Improving performance of robust Self Adaptive Caches by optimizing the switching algorithm
机译:
通过优化切换算法提高鲁棒的自适应高速缓存的性能
作者:
Agnola Liviu
;
Vladutiu Mircea
;
Udrescu Mihai
;
Prodan Lucian
会议名称:
《2011 14th IEEE Symposium on design and Diagnostics of Electronic Circuits and Systems》
|
2011年
关键词:
BIST;
cache memories;
graceful degradation;
reliability;
self adaptive memories;
36.
Sample synchronization of multiple multiplexed DA and AD converters in FPGAs
机译:
FPGA中多个多路复用DA和AD转换器的采样同步
作者:
Ohlemueller Thilo
;
Petri Markus
会议名称:
《2011 14th IEEE Symposium on design and Diagnostics of Electronic Circuits and Systems》
|
2011年
37.
Dynamic placement applications into Self Adaptive network on FPGA
机译:
动态放置应用到FPGA上的自适应网络
作者:
Honzik Petr
;
Kadlec Jiri
会议名称:
《2011 14th IEEE Symposium on design and Diagnostics of Electronic Circuits and Systems》
|
2011年
关键词:
FPGA;
adaptivity;
network-on-chip;
placement;
reconfiguration;
38.
An example of DISPLAY-CTRL IP Component verification in SCE-MI based emulation platform
机译:
基于SCE-MI的仿真平台中DISPLAY-CTRL IP组件验证的示例
作者:
Wrona Wlodzimierz
;
Duc Pawel
;
Barcik Lukasz
;
Pietrasina Wojciech
会议名称:
《2011 14th IEEE Symposium on design and Diagnostics of Electronic Circuits and Systems》
|
2011年
关键词:
FPGA;
SCE-MI;
co-emulation;
transactors;
verification;
39.
A resistorless current reference source for 65 nm CMOS technology with low sensitivity to process, supply voltage and temperature variations
机译:
用于65 nm CMOS技术的无电阻电流基准源,对工艺,电源电压和温度变化敏感度低
作者:
Lukaszewicz Michal
;
Borejko Tomasz
;
Pleskacz Witold A.
会议名称:
《2011 14th IEEE Symposium on design and Diagnostics of Electronic Circuits and Systems》
|
2011年
40.
Low-complexity integrated circuit aging monitor
机译:
低复杂度集成电路老化监测器
作者:
Simevski Aleksandar
;
Kraemer Rolf
;
Krstic Milos
会议名称:
《2011 14th IEEE Symposium on design and Diagnostics of Electronic Circuits and Systems》
|
2011年
41.
Optimized march test flow for detecting memory faults in SRAM devices under bit line coupling
机译:
优化的行军测试流程,用于在位线耦合下检测SRAM器件中的存储器故障
作者:
Zordan L. B.
;
Bosio A.
;
Dilillo L.
;
Girard P.
;
Pravossoudovitch S.
;
Virazel A.
;
Badereddine N.
会议名称:
《2011 14th IEEE Symposium on design and Diagnostics of Electronic Circuits and Systems》
|
2011年
关键词:
SRAMs;
data backgrounds;
fault modeling;
memory test;
42.
On using a SPICE-like TSTAC™ eFlash model for design and test
机译:
使用类似SPICE的TSTAC™eFlash模型进行设计和测试
作者:
Mauroux P.-D.
;
Virazel A.
;
Bosio A.
;
Dilillo L.
;
Girard P.
;
Pravossoudovitch S.
;
Godard B.
;
Festes G.
;
Vachez L.
会议名称:
《2011 14th IEEE Symposium on design and Diagnostics of Electronic Circuits and Systems》
|
2011年
关键词:
NAND array;
SPICE-like model;
design prediction;
embedded Flash;
fault modeling;
pulse programming;
43.
Statistical analysis of 6T SRAM data retention voltage under process variation
机译:
工艺变化下6T SRAM数据保持电压的统计分析
作者:
Vatajelu Elena I.
;
Figueras Joan
会议名称:
《2011 14th IEEE Symposium on design and Diagnostics of Electronic Circuits and Systems》
|
2011年
关键词:
6T SRAM;
Data Retention Voltage;
Process Variability;
Robustness Analysis;
44.
Max-Fill: A method to generate high quality delay tests
机译:
最大填充:一种生成高质量延迟测试的方法
作者:
Fan X.
;
Reddy S.M.
;
Pomeranz I.
会议名称:
《2011 14th IEEE Symposium on design and Diagnostics of Electronic Circuits and Systems》
|
2011年
关键词:
delay tests;
partially-functional tests;
reachable states;
switching activity;
45.
Measurement point selection for in-operation wear-out monitoring
机译:
用于运行中磨损监测的测量点选择
作者:
Ingelsson Urban
;
Chang Shih-Yen
;
Larsson Erik
会议名称:
《2011 14th IEEE Symposium on design and Diagnostics of Electronic Circuits and Systems》
|
2011年
46.
Test vector overlapping based compression tool for narrow test access mechanism
机译:
基于测试向量重叠的压缩工具,用于狭窄的测试访问机制
作者:
Jenicek Jiri
;
Rozkovec Martin
;
Novak Ondrej
会议名称:
《2011 14th IEEE Symposium on design and Diagnostics of Electronic Circuits and Systems》
|
2011年
关键词:
deterministic test;
off-line testing;
test compression;
47.
High-performance hardware accelerators for sorting and managing priorities
机译:
高性能硬件加速器,用于排序和管理优先级
作者:
Sklyarov Valery
;
Skliarova Iouliia
;
Mihhailov Dmitri
;
Sudnitson Alexander
会议名称:
《2011 14th IEEE Symposium on design and Diagnostics of Electronic Circuits and Systems》
|
2011年
关键词:
fast resorting;
hierarchical FSMs;
managing priorities;
parallelization;
sorting networks;
tree-like data structures;
48.
Precise IPv4/IPv6 packet generator based on NetCOPE platform
机译:
基于NetCOPE平台的精确IPv4 / IPv6数据包生成器
作者:
Matousek Jiri
;
Korcek Pavol
会议名称:
《2011 14th IEEE Symposium on design and Diagnostics of Electronic Circuits and Systems》
|
2011年
关键词:
COMBOv2;
IPv6;
NetCOPE;
Packet Generator;
Pseudo-Random Numbers;
Timestamp;
49.
Stacking order impact on overall 3D die-to-wafer Stacked-IC cost
机译:
堆叠顺序对整体3D芯片对晶圆堆叠IC成本的影响
作者:
Taouil Mottaqiallah
;
Hamdioui Said
会议名称:
《2011 14th IEEE Symposium on design and Diagnostics of Electronic Circuits and Systems》
|
2011年
关键词:
3D manufacturing cost;
3D stacking;
3D test cost;
3D test flow;
Die-to-Wafer stacking;
50.
A memetic algorithm for computing 3D capacitance in multiconductor VLSI circuits
机译:
一种在多导体VLSI电路中计算3D电容的模因算法
作者:
Bontzios Yiorgos I.
;
Dimopoulos Michael G.
;
Hatzopoulos Alkis A.
会议名称:
《2011 14th IEEE Symposium on design and Diagnostics of Electronic Circuits and Systems》
|
2011年
关键词:
Capacitance;
Capacitance Modeling;
Memetic Algorithm;
Method of Images;
Point Charges;
VLSI circuits;
51.
Optimized embedded memory diagnosis
机译:
优化的嵌入式内存诊断
作者:
Carvalho M. de
;
Bernardi P.
;
Reorda M. Sonza
;
Campanelli N.
;
Kerekes T.
;
Appello D.
;
Barone M.
;
Tancorre V.
;
Terzi M.
会议名称:
《2011 14th IEEE Symposium on design and Diagnostics of Electronic Circuits and Systems》
|
2011年
关键词:
Embedded memory diagnosis;
Failure Bitmaps;
Failure analysis;
Fault models;
Scrambling;
52.
An architecture and an FPGA prototype of a reliable processor pipeline towards multiple soft- and timing errors
机译:
可靠的处理器流水线的架构和FPGA原型,可解决多种软错误和定时错误
作者:
Bouajila Abdelmajid
;
Zeppenfeld Johannes
;
Stechele Walter
;
Herkersdorf Andreas
会议名称:
《2011 14th IEEE Symposium on design and Diagnostics of Electronic Circuits and Systems》
|
2011年
53.
Hardware architecture for packet classification with prefix coloring
机译:
带有前缀着色的数据包分类的硬件体系结构
作者:
Pus Viktor
;
Kajan Michal
;
Korenek Jan
会议名称:
《2011 14th IEEE Symposium on design and Diagnostics of Electronic Circuits and Systems》
|
2011年
54.
Communication modelling and synthesis for NoC-based systems with real-time constraints
机译:
具有实时约束的基于NoC的系统的通信建模和综合
作者:
Tagel Mihkel
;
Ellervee Peeter
;
Hollstein Thomas
;
Jervan Gert
会议名称:
《2011 14th IEEE Symposium on design and Diagnostics of Electronic Circuits and Systems》
|
2011年
关键词:
Communication modelling;
Communication synthesis;
Network-on-chip;
System-level design;
55.
Optimization of message encryption for distributed embedded systems with real-time constraints
机译:
具有实时约束的分布式嵌入式系统的消息加密优化
作者:
Jiang Ke
;
Eles Petru
;
Peng Zebo
会议名称:
《2011 14th IEEE Symposium on design and Diagnostics of Electronic Circuits and Systems》
|
2011年
56.
Conversion and interfacing techniques for asynchronous circuits
机译:
异步电路的转换和接口技术
作者:
Ferringer Markus
会议名称:
《2011 14th IEEE Symposium on design and Diagnostics of Electronic Circuits and Systems》
|
2011年
57.
A system-level platform for dependability enhancement and its analysis for mixed-signal SoCs
机译:
用于增强可靠性的系统级平台及其对混合信号SoC的分析
作者:
Khan Muhammad A.
;
Kerkhoff Hans G.
会议名称:
《2011 14th IEEE Symposium on design and Diagnostics of Electronic Circuits and Systems》
|
2011年
关键词:
Markov analysis;
analog and mixed-signal dependability;
behavioral modeling;
redundancy;
self-calibration;
self-diagnosis;
system-level platform;
58.
Dual use of power lines for data communications in microprocessors
机译:
电力线在微处理器中用于数据通信的双重用途
作者:
Chawla Vipul
;
Ha Dong Sam
会议名称:
《2011 14th IEEE Symposium on design and Diagnostics of Electronic Circuits and Systems》
|
2011年
关键词:
CMOS;
Impulse-UWB Receiver;
Microprocessors;
Power Line Communication;
59.
PVT tolerant LC-VCO in 90 nm CMOS technology for GPS/Galileo applications
机译:
耐PVT的LC-VCO采用90 nm CMOS技术,用于GPS / Galileo应用
作者:
Siwiec Krzysztof
;
Borejko Tomasz
;
Pleskacz Witold A.
会议名称:
《2011 14th IEEE Symposium on design and Diagnostics of Electronic Circuits and Systems》
|
2011年
60.
Design-for-Test method for high-speed ADCs: Behavioral description and optimization
机译:
高速ADC的测试设计方法:行为描述和优化
作者:
Lechuga Y.
;
Mozuelos R.
;
Martinez M.
;
Bracho S.
会议名称:
《2011 14th IEEE Symposium on design and Diagnostics of Electronic Circuits and Systems》
|
2011年
关键词:
Behavioral modeling;
Circuit simulation;
Design-for-Test;
Folded and interpolated A/D converters;
61.
High performance adaptive sensor interface design through model based estimation of analog non-idealities
机译:
通过基于模型的模拟非理想性估计实现高性能自适应传感器接口设计
作者:
Adhikari Sumit
;
Farooq Muhammad
;
Haase Jan
;
Grimm Christoph
会议名称:
《2011 14th IEEE Symposium on design and Diagnostics of Electronic Circuits and Systems》
|
2011年
62.
Cost-efficient 130nm TSMC Forward Transform and Quantization for H.264/AVC encoders
机译:
适用于H.264 / AVC编码器的经济高效的130nm TSMC前向变换和量化
作者:
Tran Xuan-Tu
;
Tran Van-Huan
会议名称:
《2011 14th IEEE Symposium on design and Diagnostics of Electronic Circuits and Systems》
|
2011年
63.
Towards an unified IP verification and robustness analysis platform
机译:
迈向统一的IP验证和健壮性分析平台
作者:
Hely David
;
Beroulle Vincent
;
Lu Feng
;
Garcia Jose Ramon Oya
会议名称:
《2011 14th IEEE Symposium on design and Diagnostics of Electronic Circuits and Systems》
|
2011年
64.
An analog perspective on device reliability in 32nm high-κ metal gate technology
机译:
从模拟角度看32nm高κ金属栅极技术中的器件可靠性
作者:
Chouard Florian Raoul
;
More Shailesh
;
Fulde Michael
;
Schmitt-Landsiedel Doris
会议名称:
《2011 14th IEEE Symposium on design and Diagnostics of Electronic Circuits and Systems》
|
2011年
关键词:
NBTI;
PBTI;
aging;
analog;
circuit reliability;
degradation;
65.
Defect-oriented module-level fault diagnosis in digital circuits
机译:
数字电路中面向缺陷的模块级故障诊断
作者:
Kostin Sergei
;
Ubar Raimund
;
Raik Jaan
会议名称:
《2011 14th IEEE Symposium on design and Diagnostics of Electronic Circuits and Systems》
|
2011年
关键词:
digital circuits;
hierarchical fault diagnosis;
multiple faults;
66.
Efficient diagnostics algorithms for regular computing structures
机译:
用于常规计算结构的高效诊断算法
作者:
Manik Miroslav
;
Gramatova Elena
会议名称:
《2011 14th IEEE Symposium on design and Diagnostics of Electronic Circuits and Systems》
|
2011年
关键词:
PMC model;
regular computing structures;
system level diagnostics;
testing;
67.
SAT-based analysis of sensitisable paths
机译:
基于SAT的敏感路径分析
作者:
Sauer Matthias
;
Czutro Alexander
;
Schubert Tobias
;
Hillebrecht Stefan
;
Polian Ilia
;
Becker Bernd
会议名称:
《》
|
2011年
68.
Wireless wafer-level testing of integrated circuits via capacitively-coupled channels
机译:
通过电容耦合通道对集成电路进行无线晶圆级测试
作者:
Lee Dae Young
;
Wentzloff David D.
;
Hayes John P.
会议名称:
《2011 14th IEEE Symposium on design and Diagnostics of Electronic Circuits and Systems》
|
2011年
关键词:
Wireless testing;
capacitive coupling;
near-field communication;
probe card;
proximity communication;
69.
Optimal number and placement of Through Silicon Vias in 3D Network-on-Chip
机译:
3D片上网络中硅通孔的最佳数量和位置
作者:
Xu Thomas Canhao
;
Liljeberg Pasi
;
Tenhunen Hannu
会议名称:
《2011 14th IEEE Symposium on design and Diagnostics of Electronic Circuits and Systems》
|
2011年
70.
An all-digital on-chip PMOS and NMOS process variability monitor utilizing shared buffer ring and ring oscillator
机译:
利用共享缓冲环和环形振荡器的全数字片上PMOS和NMOS工艺可变性监控器
作者:
Iizuka Tetsuya
;
Asada Kunihiro
会议名称:
《2011 14th IEEE Symposium on design and Diagnostics of Electronic Circuits and Systems》
|
2011年
71.
Functional enhancements of TMR for power efficient and error resilient ASIC designs
机译:
TMR的功能增强,可实现高能效和容错性的ASIC设计
作者:
Samrow Hagen
;
Cornelius Claas
;
Gorski Philipp
;
Salzmann Jakob
;
Tockhorn Andreas
;
Timmermann Dirk
会议名称:
《2011 14th IEEE Symposium on design and Diagnostics of Electronic Circuits and Systems》
|
2011年
关键词:
Circuit Design;
Power Consumption;
Power-Aware Design;
Reliability;
Triple Modular Redundancy;
72.
A study of path delay variations in the presence of uncorrelated power and ground supply noise
机译:
在电源和地面电源噪声不相关的情况下路径延迟变化的研究
作者:
Todri A.
;
Bosio A.
;
Dilillo L.
;
Girard P.
;
Pravossoudovitch S.
;
Virazel A.
会议名称:
《2011 14th IEEE Symposium on design and Diagnostics of Electronic Circuits and Systems》
|
2011年
关键词:
IR drop;
ground bounce;
path delay;
resonance frequency;
73.
Muller C-elements based on minority-3 functions for ultra low voltage supplies
机译:
基于少数民族3功能的穆勒C元件用于超低压电源
作者:
Berge Hans Kristian Otnes
;
Hasanbegovic Amir
;
Aunet Snorre
会议名称:
《2011 14th IEEE Symposium on design and Diagnostics of Electronic Circuits and Systems》
|
2011年
74.
Power consumption traces realignment to improve differential power analysis
机译:
功耗迹线重新调整以改善差分功率分析
作者:
Natale G. Di
;
Flottes M.L.
;
Rouzeyre B.
;
Valka M.
;
Real D.
会议名称:
《2011 14th IEEE Symposium on design and Diagnostics of Electronic Circuits and Systems》
|
2011年
75.
Fault injection analysis of transient faults in clustered VLIW processors
机译:
群集VLIW处理器中瞬时故障的故障注入分析
作者:
Sterpone L.
;
Sabena D.
;
Campagna S.
;
Sonza Reorda M.
会议名称:
《2011 14th IEEE Symposium on design and Diagnostics of Electronic Circuits and Systems》
|
2011年
76.
Implementation of Selective Fault Tolerance with conventional synthesis tools
机译:
使用常规综合工具实现选择性容错
作者:
Augustin Michael
;
Gossel Michael
;
Kraemer Rolf
会议名称:
《2011 14th IEEE Symposium on design and Diagnostics of Electronic Circuits and Systems》
|
2011年
77.
Optimal spare utilization for reliability and mean lifetime improvement of logic built-in self-repair
机译:
最佳的备用利用率可提高可靠性并平均缩短逻辑内置自我修复的使用寿命
作者:
Koal Tobias
;
Vierhaus Heinrich Theodor
会议名称:
《2011 14th IEEE Symposium on design and Diagnostics of Electronic Circuits and Systems》
|
2011年
关键词:
BISR;
MTTF;
Reliability;
SBST;
78.
Manufacturing variability analysis in Carbon Nanotube Technology: A comparison with bulk CMOS in 6T SRAM scenario
机译:
碳纳米管技术中的制造差异性分析:在6T SRAM方案中与块状CMOS的比较
作者:
Garcia Carmen
;
Rubio Antonio
会议名称:
《2011 14th IEEE Symposium on design and Diagnostics of Electronic Circuits and Systems》
|
2011年
79.
Characterization of digital cells for statistical test
机译:
表征数字单元以进行统计测试
作者:
Hopsch Fabian
;
Lindig Michael
;
Straube Bernd
;
Vermeiren Wolfgang
会议名称:
《2011 14th IEEE Symposium on design and Diagnostics of Electronic Circuits and Systems》
|
2011年
关键词:
Monte Carlo fault simulation;
characterization;
defect-oriented test;
parameter variation;
statistical test;
80.
A variation-aware adaptive voltage scaling technique based on in-situ delay monitoring
机译:
基于原位延迟监测的变化感知自适应电压缩放技术
作者:
Wirnshofer Martin
;
Heiss Leonhard
;
Georgakos Georg
;
Schmitt-Landsiedel Doris
会议名称:
《2011 14th IEEE Symposium on design and Diagnostics of Electronic Circuits and Systems》
|
2011年
81.
Automatic property generation for the formal verification of bus bridges
机译:
自动生成属性以进行公交桥的形式验证
作者:
Soeken Mathias
;
Kuhne Ulrich
;
Freibothe Martin
;
Fe Gorschwin
;
Drechsler Rolf
会议名称:
《2011 14th IEEE Symposium on design and Diagnostics of Electronic Circuits and Systems》
|
2011年
82.
Probabilistic equivalence checking based on high-level decision diagrams
机译:
基于高级决策图的概率对等检查
作者:
Karputkin Anton
;
Ubar Raimund
;
Tombak Mati
;
Raik Jaan
会议名称:
《2011 14th IEEE Symposium on design and Diagnostics of Electronic Circuits and Systems》
|
2011年
83.
Proof certificates and non-linear arithmetic constraints
机译:
证明书和非线性算术约束
作者:
Kupferschmid S.
;
Becker B.
;
Teige T.
;
Franzle M.
会议名称:
《2011 14th IEEE Symposium on design and Diagnostics of Electronic Circuits and Systems》
|
2011年
84.
TLM protocol compliance checking at the Electronic System Level
机译:
电子系统级别的TLM协议符合性检查
作者:
Bawadekji Mohamed
;
Grosse Daniel
;
Drechsler Rolf
会议名称:
《2011 14th IEEE Symposium on design and Diagnostics of Electronic Circuits and Systems》
|
2011年
85.
Error recovery technique for coarse-grained reconfigurable architectures
机译:
粗粒度可重构体系结构的错误恢复技术
作者:
Azeem Muhammad Moazam
;
Piestrak Stanislaw J.
;
Sentieys Olivier
;
Pillement Sebastien
会议名称:
《2011 14th IEEE Symposium on design and Diagnostics of Electronic Circuits and Systems》
|
2011年
86.
Behavior of CMOS polymorphic circuits in high temperature environment
机译:
高温环境下CMOS多态电路的行为
作者:
Ruzicka Richard
;
Simek Vaclav
;
Sekanina Lukas
会议名称:
《2011 14th IEEE Symposium on design and Diagnostics of Electronic Circuits and Systems》
|
2011年
87.
Minimal physical resource allocation of pi-calculus schedules to dynamically reconfigurable platforms
机译:
将pi演算表的物理资源分配到动态可重新配置的平台的最少
作者:
Seffrin Andre
;
Huss Sorin A.
会议名称:
《2011 14th IEEE Symposium on design and Diagnostics of Electronic Circuits and Systems》
|
2011年
88.
A 20 pJ/b (10 µW) digital receiver based on a new modulation (SAS) for retinal prosthesis application
机译:
基于新型调制(SAS)的20 pJ / b(10 µW)数字接收机,用于视网膜假体应用
作者:
Goodarzy F.
;
Skafidas E.
会议名称:
《2011 14th IEEE Symposium on design and Diagnostics of Electronic Circuits and Systems》
|
2011年
关键词:
CMOS;
MICS;
integreated circuit;
low-noise amplifier;
medical implant;
saturated analog signal(SAS);
ultra low power;
89.
A wireless ECG sensor node based on Huffman data encoder
机译:
基于霍夫曼数据编码器的无线ECG传感器节点
作者:
Pesovic Uros
;
Randic Sinisa
;
Stamenkovic Zoran
会议名称:
《2011 14th IEEE Symposium on design and Diagnostics of Electronic Circuits and Systems》
|
2011年
关键词:
ECG;
FPGA;
WSN;
entropy coding;
predictive coding;
90.
Comparison of iddt test efficiency in covering opens in SRAMs realised in two different technologies
机译:
比较通过两种不同技术实现的SRAM中的iddt测试效率
作者:
Gyepes Gabor
;
Brenkus Juraj
;
Arbet Daniel
;
Stopjakova Viera
会议名称:
《2011 14th IEEE Symposium on design and Diagnostics of Electronic Circuits and Systems》
|
2011年
关键词:
6-transistor cell;
SRAM;
dynamic supply current;
iddt;
memory test;
open defects;
91.
Advanced fault tolerant bus for multicore system implemented in FPGA
机译:
用FPGA实现的用于多核系统的高级容错总线
作者:
Straka Martin
;
Kastil Jan
;
Novotny Jaroslav
;
Kotasek Zdenek
会议名称:
《2011 14th IEEE Symposium on design and Diagnostics of Electronic Circuits and Systems》
|
2011年
92.
Validation and optimization of TMR protections for circuits in radiation environments
机译:
辐射环境中电路的TMR保护的验证和优化
作者:
Ruano O.
;
Maestro J.A.
;
Reviriego P.
会议名称:
《2011 14th IEEE Symposium on design and Diagnostics of Electronic Circuits and Systems》
|
2011年
关键词:
Error Rate (ER);
Single Event Upsets (SEUs);
Triple Modular Redundancy (TMR);
fault injection;
optimization;
93.
Reduction of FPGA resources for regular expression matching by relation similarity
机译:
通过关系相似性减少用于正则表达式匹配的FPGA资源
作者:
Kosar Vlastimil
;
Korenek Jan
会议名称:
《2011 14th IEEE Symposium on design and Diagnostics of Electronic Circuits and Systems》
|
2011年
94.
Low-power quadrature VCO design for medical implant communication service
机译:
用于医疗植入物通信服务的低功耗正交VCO设计
作者:
Kim Jeong Ki
;
Jeong Jihoon
;
Ha Dong Sam
;
Lee Hyung-soo
会议名称:
《2011 14th IEEE Symposium on design and Diagnostics of Electronic Circuits and Systems》
|
2011年
关键词:
Current-reuse VCO;
MICS;
P-QVCO;
QVCO;
95.
Current sensing methodology for completion detection in self-timed systems
机译:
用于自定时系统中完成检测的电流检测方法
作者:
Nagy Lukas
;
Stopjakova Viera
会议名称:
《2011 14th IEEE Symposium on design and Diagnostics of Electronic Circuits and Systems》
|
2011年
关键词:
Asynchronous systems;
Completion detection;
Current sensing;
Low power;
96.
Advanced rectifier and driver for analog VU meter
机译:
模拟VU表的高级整流器和驱动器
作者:
Pospisilik Martin
;
Adamek Milan
会议名称:
《2011 14th IEEE Symposium on design and Diagnostics of Electronic Circuits and Systems》
|
2011年
关键词:
VU meter;
gauge driver;
logarithmic conversion;
rectifier;
97.
Author index
机译:
作者索引
会议名称:
《2011 14th IEEE Symposium on design and Diagnostics of Electronic Circuits and Systems》
|
2011年
意见反馈
回到顶部
回到首页