掌桥科研
一站式科研服务平台
科技查新
收录引用
专题文献检索
外文数据库(机构版)
更多产品
首页
成为会员
我要充值
退出
我的积分:
中文会员
开通
中文文献批量获取
外文会员
开通
外文文献批量获取
我的订单
会员中心
我的包量
我的余额
登录/注册
文献导航
中文期刊
>
中文会议
>
中文学位
>
中国专利
>
外文期刊
>
外文会议
>
外文学位
>
外国专利
>
外文OA文献
>
外文科技报告
>
中文图书
>
外文图书
>
工业技术
基础科学
医药卫生
农业科学
教科文艺
经济财政
社会科学
哲学政法
其他
工业技术
基础科学
医药卫生
农业科学
教科文艺
经济财政
社会科学
哲学政法
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
马克思主义、列宁主义、毛泽东思想、邓小平理论
哲学、宗教
社会科学总论
政治、法律
军事
经济
文化、科学、教育、体育
语言、文字
文学
艺术
历史、地理
自然科学总论
数理科学和化学
天文学、地球科学
生物科学
医药、卫生
农业科学
工业技术
交通运输
航空、航天
环境科学、安全科学
综合性图书
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
美国国防部AD报告
美国能源部DE报告
美国航空航天局NASA报告
美国商务部PB报告
外军国防科技报告
美国国防部
美国参联会主席指示
美国海军
美国空军
美国陆军
美国海军陆战队
美国国防技术信息中心(DTIC)
美军标
美国航空航天局(NASA)
战略与国际研究中心
美国国土安全数字图书馆
美国科学研究出版社
兰德公司
美国政府问责局
香港科技大学图书馆
美国海军研究生院图书馆
OALIB数据库
在线学术档案数据库
数字空间系统
剑桥大学机构知识库
欧洲核子研究中心机构库
美国密西根大学论文库
美国政府出版局(GPO)
加利福尼亚大学数字图书馆
美国国家学术出版社
美国国防大学出版社
美国能源部文献库
美国国防高级研究计划局
美国陆军协会
美国陆军研究实验室
英国空军
美国国家科学基金会
美国战略与国际研究中心-导弹威胁网
美国科学与国际安全研究所
法国国际关系战略研究院
法国国际关系研究所
国际宇航联合会
美国防务日报
国会研究处
美国海运司令部
北约
盟军快速反应部队
北约浅水行动卓越中心
北约盟军地面部队司令部
北约通信信息局
北约稳定政策卓越中心
美国国会研究服务处
美国国防预算办公室
美国陆军技术手册
一般OA
科技期刊论文
科技会议论文
图书
科技报告
科技专著
标准
其它
美国卫生研究院文献
分子生物学
神经科学
药学
外科
临床神经病学
肿瘤学
细胞生物学
遗传学
公共卫生&环境&职业病
应用微生物学
全科医学
免疫学
动物学
精神病学
兽医学
心血管
放射&核医学&医学影像学
儿科
医学进展
微生物学
护理学
生物学
牙科&口腔外科
毒理学
生理学
医院管理
妇产科学
病理学
生化技术
胃肠&肝脏病学
运动科学
心理学
营养学
血液学
泌尿科学&肾病学
生物医学工程
感染病
生物物理学
矫形
外周血管病
药物化学
皮肤病学
康复学
眼科学
行为科学
呼吸学
进化生物学
老年医学
耳鼻喉科学
发育生物学
寄生虫学
病毒学
医学实验室检查技术
生殖生物学
风湿病学
麻醉学
危重病护理
生物材料
移植
医学情报
其他学科
人类生活必需品
作业;运输
化学;冶金
纺织;造纸
固定建筑物
机械工程;照明;加热;武器;爆破
物理
电学
人类生活必需品
作业;运输
化学;冶金
纺织;造纸
固定建筑物
机械工程;照明;加热;武器;爆破
物理
电学
马克思主义、列宁主义、毛泽东思想、邓小平理论
哲学、宗教
社会科学总论
政治、法律
军事
经济
文化、科学、教育、体育
语言、文字
文学
艺术
历史、地理
自然科学总论
数理科学和化学
天文学、地球科学
生物科学
医药、卫生
农业科学
工业技术
交通运输
航空、航天
环境科学、安全科学
综合性图书
主题
主题
题名
作者
关键词
摘要
高级搜索 >
外文期刊
外文会议
外文学位
外国专利
外文图书
外文OA文献
中文期刊
中文会议
中文学位
中国专利
中文图书
外文科技报告
清除
历史搜索
清空历史
首页
>
外文会议
>
EDBT/ICDT Workshops
EDBT/ICDT Workshops
召开年:
2009
召开地:
Saint-Petersburg(RU)
出版时间:
-
会议文集:
-
会议论文
热门论文
全部论文
全选(
0
)
清除
导出
1.
BlobSeer
机译:
BlobSeer
作者:
Bogdan Nicolae
;
Gabriel Antoniu
;
Luc Bouge
会议名称:
《EDBT/ICDT Workshops》
|
2009年
2.
Optimizing peer-to-peer backup using lifetime estimations
机译:
使用寿命估算来优化对等备份
作者:
Samuel Bernard
;
Fabrice Le Fessant
会议名称:
《EDBT/ICDT Workshops》
|
2009年
3.
Distributed processing of continuous join queries using DHT networks
机译:
使用DHT网络进行连续联接查询的分布式处理
作者:
Wenceslao Palma
;
Reza Akbarinia
;
Esther Pacitti
;
Patrick Valduriez
会议名称:
《EDBT/ICDT Workshops》
|
2009年
4.
P2P based hosting system for scalable replicated databases
机译:
基于P2P的托管系统,用于可扩展的复制数据库
作者:
Mesaac Makpangou
会议名称:
《EDBT/ICDT Workshops》
|
2009年
5.
Mining flexible association rules from XML
机译:
从XML挖掘灵活的关联规则
作者:
Elisabetta Caneva
;
Barbara Oliboni
;
Elisa Quintarelli
会议名称:
《EDBT/ICDT Workshops》
|
2009年
6.
An XQuery-based version extension of an XML native database
机译:
XML本机数据库的基于XQuery的版本扩展
作者:
Luis Arevalo Rosado
;
Antonio Polo Marquez
;
Miryam Salas Sanchez
会议名称:
《EDBT/ICDT Workshops》
|
2009年
关键词:
XML native databases;
XML versions;
branch versioning;
historical XML information;
7.
A conceptual framework for specification, analysis, and design of anonymity services
机译:
用于规范,分析和设计匿名服务的概念框架
作者:
Marzieh Ispareh
;
Behrouz Tork Ladani
会议名称:
《EDBT/ICDT Workshops》
|
2009年
关键词:
anonymity;
anonymity requirements;
anonymity services;
conceptual model;
security;
8.
BlueS: query processing in ubiquitous environments
机译:
BlueS:无处不在的环境中的查询处理
作者:
Andre Peters
会议名称:
《EDBT/ICDT Workshops》
|
2009年
关键词:
distributed information retrieval;
dynamic taxonomies;
service discovery;
9.
Affinity analysis of coded data sets
机译:
编码数据集的亲和力分析
作者:
Tapio Pitkaranta
会议名称:
《EDBT/ICDT Workshops》
|
2009年
关键词:
OLAP;
information retrieval;
kernel functions;
similarity measures;
10.
Preserving SQL access control policies over published XML data
机译:
在已发布的XML数据上保留SQL访问控制策略
作者:
Gregory Leighton
会议名称:
《EDBT/ICDT Workshops》
|
2009年
11.
A flexible framework for multisensor data fusion using data stream management technologies
机译:
使用数据流管理技术的多传感器数据融合的灵活框架
作者:
Andre Bolles
会议名称:
《EDBT/ICDT Workshops》
|
2009年
关键词:
data stream management;
sensor fusion;
12.
Generic and updatable XML value indices covering equality and range lookups
机译:
通用和可更新的XML值索引,涵盖相等性和范围查找
作者:
Lefteris Sidirourgos
;
Peter Boncz
会议名称:
《EDBT/ICDT Workshops》
|
2009年
13.
Hiding co-occurring frequent itemsets
机译:
隐藏共同出现的频繁项集
作者:
Osman Abul
会议名称:
《EDBT/ICDT Workshops》
|
2009年
14.
COP
机译:
警察
作者:
Jieping Wang
;
Xiaoyong Du
;
Haocong Wang
;
Pingping Yang
会议名称:
《EDBT/ICDT Workshops》
|
2009年
关键词:
DAS;
cluster;
database security;
multi-dimensional partition;
15.
Towards an Algebraic foundation for business planning
机译:
建立业务计划的代数基础
作者:
Katrin Eisenreich
会议名称:
《EDBT/ICDT Workshops》
|
2009年
16.
BPI
机译:
BPI
作者:
Neamat El Tazi
;
H. V. Jagadish
会议名称:
《EDBT/ICDT Workshops》
|
2009年
17.
A Bayesian approach for on-line max auditing of dynamic statistical databases
机译:
动态统计数据库在线最大审计的贝叶斯方法
作者:
Gerardo Canfora
;
Bice Cavallo
会议名称:
《EDBT/ICDT Workshops》
|
2009年
关键词:
Bayesian network;
dynamic statistical databases;
uncertainty;
18.
iPIXSAR
机译:
iPIXSAR
作者:
Lila Shnaiderman
;
Oded Shmueli
会议名称:
《EDBT/ICDT Workshops》
|
2009年
19.
Applications for ubiquitous computing and communications
机译:
普遍存在计算和通信的应用
作者:
Rudy Lauwereins
;
PJan Madsen
会议名称:
《EDBT/ICDT Workshops》
|
2009年
20.
Keynote address: Was Darwin wrong? Has design evolution stopped at the RTL level... or will software and custom processors (or system-level design) extend Moore's law?
机译:
主题演讲:达尔文错了吗?在RTL级别停止设计进化......或者将软件和自定义处理器(或系统级设计)扩展摩尔定律?
作者:
Alan Naumann
;
PAlan Naumann
会议名称:
《EDBT/ICDT Workshops》
|
2009年
21.
Keynote address: Challenges of digital consumer and mobile SoC's
机译:
主题演讲地址:数字消费者和移动SOC的挑战
作者:
Tohru Furuyama
;
PTohru Furuyama
会议名称:
《EDBT/ICDT Workshops》
|
2009年
22.
Power supply and power management in Ubicom
机译:
UBicom的电源和电源管理
作者:
Rudy Lauwereins
;
PJan Madsen
会议名称:
《EDBT/ICDT Workshops》
|
2009年
23.
Emerging solutions technology and business views for the ubiquitous communication
机译:
新兴的解决方案技术和商业观点,以满足无处不在的沟通
作者:
Dr Heikki Huomo
会议名称:
《EDBT/ICDT Workshops》
|
2009年
24.
Flying embedded
机译:
飞行嵌入式
作者:
Jean Botti
会议名称:
《EDBT/ICDT Workshops》
|
2009年
25.
Towards total open source in aeronautics and space?
机译:
在航空和空间的总开放源?
作者:
Peggy Aycinena
;
Eric Bantegnie
;
Gerard Ladier
;
Ralph Mueller
;
Franco Gasperoni
;
Alex Wilson
;
PAlex Wilson
会议名称:
《EDBT/ICDT Workshops》
|
2009年
26.
From algorithm to first 3.5G call in record time
机译:
从算法到记录时间的第一个3.5G呼叫
作者:
M. Brandenburg
;
A. Schollhorn
;
S. Heinen
;
J. Eckmuller
;
T. Eckart
会议名称:
《EDBT/ICDT Workshops》
|
2009年
27.
Development and industrialisation
机译:
开发和工业化
作者:
Michel Riffiod
;
Paul Caspi
;
Christophe Piala
;
Jean-Luc Voirin
;
PPaul Caspi
会议名称:
《EDBT/ICDT Workshops》
|
2009年
28.
Hiding co-occurring frequent itemsets
机译:
隐藏共同发生的频繁项目集
作者:
Osman Abul
会议名称:
《EDBT/ICDT Workshops》
|
2009年
29.
Towards an Algebraic foundation for business planning
机译:
走向商业计划的代数基础
作者:
Katrin Eisenreich
会议名称:
《EDBT/ICDT Workshops》
|
2009年
30.
COP
机译:
打
作者:
Jieping Wang
;
Xiaoyong Du
;
Haocong Wang
;
Pingping Yang
会议名称:
《EDBT/ICDT Workshops》
|
2009年
关键词:
DAS;
cluster;
database security;
multi-dimensional partition;
31.
Generic and updatable XML value indices covering equality and range lookups
机译:
覆盖平等和范围查找的通用和可更新的XML值指标
作者:
Lefteris Sidirourgos
;
Peter Boncz
会议名称:
《EDBT/ICDT Workshops》
|
2009年
32.
A Bayesian approach for on-line max auditing of dynamic statistical databases
机译:
一种贝叶斯探讨动态统计数据库的在线最大审计方法
作者:
Gerardo Canfora
;
Bice Cavallo
会议名称:
《EDBT/ICDT Workshops》
|
2009年
关键词:
Bayesian network;
dynamic statistical databases;
uncertainty;
33.
BPI
机译:
BPI.
作者:
Neamat El Tazi
;
H. V. Jagadish
会议名称:
《EDBT/ICDT Workshops》
|
2009年
34.
iPIXSAR
机译:
ipixsar。
作者:
Lila Shnaiderman
;
Oded Shmueli
会议名称:
《EDBT/ICDT Workshops》
|
2009年
35.
The methodological and technological dimensions of technology transfer for embedded systems in aeronautics and space
机译:
航空航天嵌入式系统技术转让的方法论和技术方向
作者:
Thierry Pardessus
;
Heinrich Daembkes
;
Richard Arning
会议名称:
《EDBT/ICDT Workshops》
|
2009年
36.
The ARTEMIS cross-domain architecture for embedded systems
机译:
嵌入式系统的Artemis跨域架构
作者:
Hermann Kopetz
;
PHermann Kopetz
会议名称:
《EDBT/ICDT Workshops》
|
2009年
37.
A new hybrid solution to boost SAT solver performance
机译:
一种新的混合解决方案,可以提高SAT Solver性能
作者:
Lei Fang
;
Michael S. Hsiao
;
PLei Fang
会议名称:
《EDBT/ICDT Workshops》
|
2009年
38.
Entity-aware query processing for heterogeneous data with uncertainty and correlations
机译:
具有不确定性和相关性的异构数据的实体感知查询处理
作者:
Ekaterini Ioannou
会议名称:
《EDBT/ICDT Workshops》
|
2009年
39.
Database replication in large scale systems
机译:
大型系统中的数据库复制
作者:
Modou Gueye
;
Idrissa Sarr
;
Samba Ndiaye
会议名称:
《EDBT/ICDT Workshops》
|
2009年
40.
P2P based hosting system for scalable replicated databases
机译:
基于P2P的托管系统,可缩放复制数据库
作者:
Mesaac Makpangou
会议名称:
《EDBT/ICDT Workshops》
|
2009年
41.
A flexible framework for multisensor data fusion using data stream management technologies
机译:
使用数据流管理技术进行多传感器数据融合的灵活框架
作者:
Andre Bolles
会议名称:
《EDBT/ICDT Workshops》
|
2009年
关键词:
data stream management;
sensor fusion;
42.
Optimizing peer-to-peer backup using lifetime estimations
机译:
使用寿命估计优化点对点备份
作者:
Samuel Bernard
;
Fabrice Le Fessant
会议名称:
《EDBT/ICDT Workshops》
|
2009年
43.
A conceptual framework for specification, analysis, and design of anonymity services
机译:
匿名服务的规范,分析和设计概念框架
作者:
Marzieh Ispareh
;
Behrouz Tork Ladani
会议名称:
《EDBT/ICDT Workshops》
|
2009年
关键词:
anonymity;
anonymity requirements;
anonymity services;
conceptual model;
security;
44.
Preserving SQL access control policies over published XML data
机译:
在已发布的XML数据中保留SQL访问控制策略
作者:
Gregory Leighton
会议名称:
《EDBT/ICDT Workshops》
|
2009年
45.
Distributed processing of continuous join queries using DHT networks
机译:
使用DHT网络的连续加入查询的分布式处理
作者:
Wenceslao Palma
;
Reza Akbarinia
;
Esther Pacitti
;
Patrick Valduriez
会议名称:
《EDBT/ICDT Workshops》
|
2009年
46.
BlueS: query processing in ubiquitous environments
机译:
蓝调:普遍存在环境中的查询处理
作者:
Andre Peters
会议名称:
《EDBT/ICDT Workshops》
|
2009年
关键词:
distributed information retrieval;
dynamic taxonomies;
service discovery;
47.
An XQuery-based version extension of an XML native database
机译:
基于XQuery的版本扩展XML本机数据库
作者:
Luis Arevalo Rosado
;
Antonio Polo Marquez
;
Miryam Salas Sanchez
会议名称:
《EDBT/ICDT Workshops》
|
2009年
关键词:
XML native databases;
XML versions;
branch versioning;
historical XML information;
48.
Affinity analysis of coded data sets
机译:
编码数据集的亲和分析
作者:
Tapio Pitkaranta
会议名称:
《EDBT/ICDT Workshops》
|
2009年
关键词:
OLAP;
information retrieval;
kernel functions;
similarity measures;
49.
BlobSeer
机译:
斑点
作者:
Bogdan Nicolae
;
Gabriel Antoniu
;
Luc Bouge
会议名称:
《EDBT/ICDT Workshops》
|
2009年
50.
Towards access control aware P2P data management systems
机译:
朝往访问控制感知P2P数据管理系统
作者:
Rammohan Narendula
;
Zoltan Miklos
;
Karl Aberer
会议名称:
《EDBT/ICDT Workshops》
|
2009年
51.
Mining flexible association rules from XML
机译:
从XML挖掘灵活关联规则
作者:
Elisabetta Caneva
;
Barbara Oliboni
;
Elisa Quintarelli
会议名称:
《EDBT/ICDT Workshops》
|
2009年
52.
A future of customizable processors
机译:
可定制处理器的未来
作者:
Laura Pozzi
;
Pierre G. Paulin
;
PLaura Pozzi
会议名称:
《EDBT/ICDT Workshops》
|
2009年
53.
Design challenges at 65nm and beyond
机译:
在65纳米及以后的设计挑战
作者:
Andrew B. Kahng
会议名称:
《EDBT/ICDT Workshops》
|
2009年
54.
New safety critical radio altimeter for airbus and related design flow
机译:
用于空中客车和相关设计流程的新安全关键无线电
作者:
D. Hairion
;
S. Emeriau
;
E. Combot
;
M. Sarlotte
;
PM. Sarlotte
会议名称:
《EDBT/ICDT Workshops》
|
2009年
55.
Identification of process/design issues during 0.18 μm technology qualification for space application
机译:
在0.18微米的空间应用程序资格期间识别过程/设计问题
作者:
Julie Ferrigno
;
Philippe Perdu
;
Kevin Sanchez
;
Dean Lewis
会议名称:
《EDBT/ICDT Workshops》
|
2009年
56.
Locaware
机译:
盗士
作者:
Manal El Dick
;
Esther Pacitti
会议名称:
《EDBT/ICDT Workshops》
|
2009年
57.
Interactive presentation: Logic level fault tolerance approaches targeting nanoelectronics PLAs
机译:
互动演示:逻辑电平容错靶向纳米电子材料的方法
作者:
Wenjing Rao
;
Alex Orailoglu
;
Ramesh Karri
;
PWenjing Rao
;
PAlex Orailoglu
会议名称:
《EDBT/ICDT Workshops》
|
2009年
58.
Event driven data processing architecture
机译:
事件驱动数据处理架构
作者:
Ingemar Soderquist
会议名称:
《EDBT/ICDT Workshops》
|
2009年
59.
Mapping the physical layer of radio standards to multiprocessor architectures
机译:
将无线标准的物理层映射到多处理器架构
作者:
Cyprian Grassmann
;
Mathias Richter
;
Mirko Sauermann
会议名称:
《EDBT/ICDT Workshops》
|
2009年
60.
Test cost reduction for SoC using a combined approach to test data compression and test scheduling
机译:
使用组合方法测试SOC的测试成本降低以测试数据压缩和测试调度
作者:
Quming Zhou
;
Kedarnath J. Balakrishnan
;
PQuming Zhou
;
PKedarnath J. Balakrishnan
会议名称:
《EDBT/ICDT Workshops》
|
2009年
61.
Modeling and simulation to the design of ΣΔ fractional-N frequency synthesizer
机译:
ΣΔ分数-N频率合成器设计的建模与仿真
作者:
Shuilong Huang
;
Huainan Ma
;
Zhihua Wang
;
PZhihua Wang
会议名称:
《EDBT/ICDT Workshops》
|
2009年
62.
Interactive presentation: Generating and executing multi-exit custom instructions for an adaptive extensible processor
机译:
交互式演示:为自适应可扩展处理器生成和执行多退出自定义指令
作者:
Hamid Noori
;
Farhad Mehdipour
;
Kazuaki Murakami
;
Koji Inoue
;
Maziar Goudarzi
;
PHamid Noori
;
PKazuaki Murakami
会议名称:
《EDBT/ICDT Workshops》
|
2009年
63.
Interactive presentation: Pulse propagation for the detection of small delay defects
机译:
交互式演示:用于检测小延迟缺陷的脉冲传播
作者:
M. Favalli
;
C. Metra
;
PM. Favalli
;
PC. Metra
会议名称:
《EDBT/ICDT Workshops》
|
2009年
64.
Interrupt and low-level programming support for expanding the application domain of statically-scheduled horizontal-microcoded architectures in embedded systems
机译:
中断和低级编程支持在嵌入式系统中扩展静态计划的水平微透模架构的应用域
作者:
Mehrdad Reshadi
;
Daniel Gajski
;
PMehrdad Reshadi
会议名称:
《EDBT/ICDT Workshops》
|
2009年
65.
Interactive presentation: A new asymmetric SRAM cell to reduce soft errors and leakage power in FPGA
机译:
互动演示:一种新的非对称SRAM单元,以减少FPGA中的软误差和漏电
作者:
Balkaran S. Gill
;
Chris Papachristou
;
Francis G. Wolff
;
PChris Papachristou
会议名称:
《EDBT/ICDT Workshops》
|
2009年
66.
Interactive presentation: An enhanced technique for the automatic generation of effective diagnosis-oriented test programs for processor
机译:
互动演示:用于自动生成有效诊断的处理器测试程序的增强技术
作者:
E. Sanchez
;
M. Schillaci
;
G. Squillero
;
M. Sonza Reorda
;
PE. Sanchez
;
PG. Squillero
;
PM. Sonza Reorda
会议名称:
《EDBT/ICDT Workshops》
|
2009年
67.
Method for reducing jitter in multi-gigahertz ATE
机译:
在多千兆赫兹吃的抖动减少抖动的方法
作者:
D. C. Keezer
;
D. Minier
;
P. Ducharme
;
PD. C. Keezer
会议名称:
《EDBT/ICDT Workshops》
|
2009年
68.
Interactive presentation: System-level process variation driven throughput analysis for single and multiple voltage-frequency island designs
机译:
互动演示:单个和多电压频率岛设计的系统级过程变化驱动吞吐量分析
作者:
Siddharth Garg
;
Diana Marculescu
;
PDiana Marculescu
会议名称:
《EDBT/ICDT Workshops》
|
2009年
69.
Feasibility intervals for multiprocessor fixed-priority scheduling of arbitrary deadline periodic systems
机译:
任意截止日期周期系统的多处理器固定优先级调度的可行性间隔
作者:
Liliana Cucu
;
Joel Goossens
会议名称:
《EDBT/ICDT Workshops》
|
2009年
70.
A compositional approach to the combination of combinational and sequential equivalence checking of circuits without known reset states
机译:
没有已知复位状态的电路组合和顺序等效检查的组合方法
作者:
In-Ho Moon
;
Per Bjesse
;
Carl Pixley
;
PPer Bjesse
;
PCarl Pixley
会议名称:
《EDBT/ICDT Workshops》
|
2009年
71.
Unknown blocking scheme for low control data volume and high observability
机译:
用于低控制数据量和高可观测性的未知阻塞方案
作者:
Seongmoon Wang
;
Wenlong Wei
;
Srimat T. Chakradhar
;
PSeongmoon Wang
会议名称:
《EDBT/ICDT Workshops》
|
2009年
72.
A new technique for characterization of digital-to-analog converters in high-speed systems
机译:
一种新技术,用于在高速系统中进行数字到模拟转换器的表征
作者:
Jafar Savoj
;
Ali-Azam Abbasfar
;
Amir Amirkhany
;
Bruno W. Garlepp
;
Mark A. Horowitz
;
PMark A. Horowitz
会议名称:
《EDBT/ICDT Workshops》
|
2009年
73.
Optimized integration of test compression and sharing for SOC testing
机译:
用于SOC测试的测试压缩和共享的优化集成
作者:
Anders Larsson
;
Erik Larsson
;
Petru Eles
;
Zebo Peng
;
PAnders Larsson
;
PErik Larsson
会议名称:
《EDBT/ICDT Workshops》
|
2009年
74.
Interactive presentation: Using dynamic voltage scaling to reduce the configuration energy of run time reconfigurable devices
机译:
交互式演示:使用动态电压缩放来减少运行时间可重新配置设备的配置能量
作者:
Yang Qu
;
Juha-Pekka Soininen
;
Jari Nurmi
;
PYang Qu
;
PJuha-Pekka Soininen
;
PJari Nurmi
会议名称:
《EDBT/ICDT Workshops》
|
2009年
75.
Experimental validation of a tuning algorithm for high-speed filters
机译:
高速滤波器调谐算法的实验验证
作者:
G. Matarrese
;
C. Marzocca
;
F. Corsi
;
S. DAmico
;
A. Baschirotto
;
PG. Matarrese
;
PF. Corsi
;
PS. DAmico
;
PA. Baschirotto
会议名称:
《EDBT/ICDT Workshops》
|
2009年
76.
A tiny and efficient wireless ad-hoc protocol for low-cost sensor networks
机译:
用于低成本传感器网络的微小有效的无线ad-hoc协议
作者:
Pawel Gburzynski
;
Bozena Kaminska
;
Wlodek Olesinski
;
PPawel Gburzynski
会议名称:
《EDBT/ICDT Workshops》
|
2009年
77.
Interactive presentation: Simulation methodology and experimental verification for the analysis of substrate noise on LC-VCO's
机译:
交互式演示:LC-VCO对基板噪声分析的仿真方法和实验验证
作者:
S. Bronckers
;
C. Soens
;
G. Van der Plas
;
G. Vandersteen
;
Y. Rolain
;
PG. Van der Plas
;
PG. Vandersteen
会议名称:
《EDBT/ICDT Workshops》
|
2009年
78.
Evaluation of design for reliability techniques in embedded flash memories
机译:
嵌入式闪存可靠性技术的设计评价
作者:
Benoit Godard
;
Jean-Michel Daga
;
Lionel Torres
;
Gilles Sassatelli
会议名称:
《EDBT/ICDT Workshops》
|
2009年
79.
Fast and accurate routing demand estimation for efficient routability-driven placement
机译:
快速准确的路由需求估算,以实现高效的可路由驱动的放置
作者:
Peter Spindler
;
Frank M. Johannes
会议名称:
《EDBT/ICDT Workshops》
|
2009年
80.
Tool-support for the analysis of hybrid systems and models
机译:
用于分析混合系统和模型的工具支持
作者:
Andreas Bauer
;
Markus Pister
;
Michael Tautschnig
;
PAndreas Bauer
会议名称:
《EDBT/ICDT Workshops》
|
2009年
81.
Interactive presentation: Time-constrained clustering for DSE of clustered VLIW-ASP
机译:
交互式演示:用于聚集的VLIW-ASP的DSE的时间约束聚类
作者:
Mario Scholzel
会议名称:
《EDBT/ICDT Workshops》
|
2009年
82.
Test quality analysis and improvement for an embedded asynchronous FIFO
机译:
测试质量分析和嵌入异步FIFO的改进
作者:
Tobias Dubois
;
Erik Jan Marinissen
;
Mohamed Azimane
;
Paul Wielage
;
Erik Larsson
;
Clemens Wouters
;
PErik Jan Marinissen
;
PErik Larsson
会议名称:
《EDBT/ICDT Workshops》
|
2009年
83.
A smooth refinement flow for co-designing HW and SW threads
机译:
用于共同设计HW和SW线程的平滑细化流程
作者:
Paolo Destro
;
Franco Fummi
;
Graziano Pravadelli
;
PGraziano Pravadelli
会议名称:
《EDBT/ICDT Workshops》
|
2009年
84.
An effective AMS top-down methodology applied to the design of a mixed-signal UWB system-on-chip
机译:
应用于混合信号UWB系统的设计的有效AMS自上而下的方法
作者:
Marco Crepaldi
;
Mario R. Casu
;
Mariagrazia Graziano
;
Maurizio Zamboni
会议名称:
《EDBT/ICDT Workshops》
|
2009年
85.
FPGA-based networking systems for high data-rate and reliable in-vehicle communications
机译:
基于FPGA的网络系统,用于高数据速率和可靠的车载通信
作者:
Sergio Saponara
;
Esa Petri
;
Marco Tonarelli
;
Iacopo Del Corona
;
Luca Fanucci
会议名称:
《EDBT/ICDT Workshops》
|
2009年
86.
Butterfly and benes-based on-chip communication networks for multiprocessor turbo decoding
机译:
基于蝴蝶和基于芯片的芯片通信网络,用于多处理器Turbo解码
作者:
Hazem Moussa
;
Olivier Muller
;
Amer Baghdadi
;
Michel Jezequel
;
PAmer Baghdadi
会议名称:
《EDBT/ICDT Workshops》
|
2009年
87.
Interactive presentation: Feasibility of combined area and performance optimization for superscalar processors using random search
机译:
互动演示:使用随机搜索的超卡处理器的组合区域和性能优化的可行性
作者:
S. van Haastregt
;
P. M. W. Knijnenburg
;
PP. M. W. Knijnenburg
会议名称:
《EDBT/ICDT Workshops》
|
2009年
88.
Sensitivity analysis for fault-analysis and tolerance in RF front-end circuitry
机译:
射频前端电路故障分析和容差的灵敏度分析
作者:
Tejasvi Das
;
P. R. Mukund
会议名称:
《EDBT/ICDT Workshops》
|
2009年
89.
A sparse grid based spectral stochastic collocation method for variations-aware capacitance extraction of interconnects under nanometer process technology
机译:
一种基于稀疏网格基于纳米工艺技术互连的感知电容提取的谱随机搭配方法
作者:
Hengliang Zhu
;
Xuan Zeng
;
Wei Cai
;
Jintao Xue
;
Dian Zhou
;
PXuan Zeng
;
PDian Zhou
会议名称:
《EDBT/ICDT Workshops》
|
2009年
90.
Low-cost protection for SER upsets and silicon defects
机译:
SER UPSETS和硅缺损的低成本保护
作者:
Mojtaba Mehrara
;
Mona Attariyan
;
Smitha Shyam
;
Kypros Constantinides
;
Valeria Bertacco
;
Todd Austin
;
PValeria Bertacco
;
PTodd Austin
会议名称:
《EDBT/ICDT Workshops》
|
2009年
91.
Interactive presentation: Evaluation of test measures for LNA production testing using a multinormal statistical model
机译:
互动演示:使用多级统计模型评估LNA生产测试的测试措施
作者:
J. Tongbong
;
S. Mir
;
J. L. Carbonero
;
PS. Mir
会议名称:
《EDBT/ICDT Workshops》
|
2009年
92.
A framework for system reliability analysis considering both system error tolerance and component test quality
机译:
考虑系统误差公差和组件测试质量的系统可靠性分析框架
作者:
Sung-Jui Pan
;
Kwang-Ting Cheng
会议名称:
《EDBT/ICDT Workshops》
|
2009年
93.
Interactive presentation: Behavioral modeling of delay-locked loops and its application to jitter optimization in ultra wide-band impulse radio systems
机译:
互动演示:延迟锁定环路的行为建模及其在超宽带脉冲无线电系统中的抖动优化应用
作者:
E. Barajas
;
R. Cosculluela
;
D. Coutinho
;
D. Mateo
;
J. L. Gonzalez
;
I. Cairo
;
S. Banda
;
M. Ikeda
;
PM. Ikeda
会议名称:
《EDBT/ICDT Workshops》
|
2009年
94.
Reduction of detected acceptable faults for yield improvement via error-tolerance
机译:
通过耐堵塞减少检测到的可接受断层的收益率改善
作者:
Tong-Yu Hsieh
;
Kuen-Jong Lee
;
Melvin A. Breuer
;
PMelvin A. Breuer
会议名称:
《EDBT/ICDT Workshops》
|
2009年
95.
Interactive presentation: Image computation and predicate refinement for RTL verilog using word level proofs
机译:
交互式演示:使用Word级别证明的RTL Verilog的图像计算和谓词细化
作者:
Daniel Kroening
;
Natasha Sharygina
;
PDaniel Kroening
会议名称:
《EDBT/ICDT Workshops》
|
2009年
96.
An efficient polynomial multiplier in GF(2m) and its application to ECC designs
机译:
GF(2M)中有效多项式乘法器及其在ECC设计中的应用
作者:
Steffen Peter
;
Peter Langendorfer
会议名称:
《EDBT/ICDT Workshops》
|
2009年
97.
Interactive presentation: Task scheduling under performance constraints for reducing the energy consumption of the GALS multi-processor SoC
机译:
交互式演示:在性能约束下的任务调度,用于减少GALS多处理器SOC的能量消耗
作者:
Ryo Watanabe
;
Masaaki Kondo
;
Masashi Imai
;
Hiroshi Nakamura
;
Takashi Nanya
;
PTakashi Nanya
会议名称:
《EDBT/ICDT Workshops》
|
2009年
98.
An efficient methodology for hierarchical synthesis of mixed-signal systems with fully integrated building block topology selection
机译:
具有完全集成的构建块拓扑选择的混合信号系统分层合成的有效方法
作者:
Tom Eeckelaert
;
Raf Schoofs
;
Georges Gielen
;
Michiel Steyaert
;
Willy Sansen
;
PGeorges Gielen
;
PMichiel Steyaert
会议名称:
《EDBT/ICDT Workshops》
|
2009年
99.
Optimization of the 'FOCUS' Inband-FEC architecture for 10-Gbps SDH/SONET optical communication channels
机译:
优化10-Gbps SDH / SONET光通信通道的“焦点”INBAND-FEC架构
作者:
Afxendios Tychopoulos
;
Odysseas Koufopavlou
会议名称:
《EDBT/ICDT Workshops》
|
2009年
100.
Clock domain crossing fault model and coverage metric for validation of SoC design
机译:
SOC设计验证的时钟域交叉故障模型与覆盖度量
作者:
Yi Feng
;
Zheng Zhou
;
Dong Tong
;
Xu Cheng
;
PYi Feng
;
PZheng Zhou
;
PXu Cheng
会议名称:
《EDBT/ICDT Workshops》
|
2009年
意见反馈
回到顶部
回到首页