掌桥科研
一站式科研服务平台
科技查新
收录引用
专题文献检索
外文数据库(机构版)
更多产品
首页
成为会员
我要充值
退出
我的积分:
中文会员
开通
中文文献批量获取
外文会员
开通
外文文献批量获取
我的订单
会员中心
我的包量
我的余额
登录/注册
文献导航
中文期刊
>
中文会议
>
中文学位
>
中国专利
>
外文期刊
>
外文会议
>
外文学位
>
外国专利
>
外文OA文献
>
外文科技报告
>
中文图书
>
外文图书
>
工业技术
基础科学
医药卫生
农业科学
教科文艺
经济财政
社会科学
哲学政法
其他
工业技术
基础科学
医药卫生
农业科学
教科文艺
经济财政
社会科学
哲学政法
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
马克思主义、列宁主义、毛泽东思想、邓小平理论
哲学、宗教
社会科学总论
政治、法律
军事
经济
文化、科学、教育、体育
语言、文字
文学
艺术
历史、地理
自然科学总论
数理科学和化学
天文学、地球科学
生物科学
医药、卫生
农业科学
工业技术
交通运输
航空、航天
环境科学、安全科学
综合性图书
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
美国国防部AD报告
美国能源部DE报告
美国航空航天局NASA报告
美国商务部PB报告
外军国防科技报告
美国国防部
美国参联会主席指示
美国海军
美国空军
美国陆军
美国海军陆战队
美国国防技术信息中心(DTIC)
美军标
美国航空航天局(NASA)
战略与国际研究中心
美国国土安全数字图书馆
美国科学研究出版社
兰德公司
美国政府问责局
香港科技大学图书馆
美国海军研究生院图书馆
OALIB数据库
在线学术档案数据库
数字空间系统
剑桥大学机构知识库
欧洲核子研究中心机构库
美国密西根大学论文库
美国政府出版局(GPO)
加利福尼亚大学数字图书馆
美国国家学术出版社
美国国防大学出版社
美国能源部文献库
美国国防高级研究计划局
美国陆军协会
美国陆军研究实验室
英国空军
美国国家科学基金会
美国战略与国际研究中心-导弹威胁网
美国科学与国际安全研究所
法国国际关系战略研究院
法国国际关系研究所
国际宇航联合会
美国防务日报
国会研究处
美国海运司令部
北约
盟军快速反应部队
北约浅水行动卓越中心
北约盟军地面部队司令部
北约通信信息局
北约稳定政策卓越中心
美国国会研究服务处
美国国防预算办公室
美国陆军技术手册
一般OA
科技期刊论文
科技会议论文
图书
科技报告
科技专著
标准
其它
美国卫生研究院文献
分子生物学
神经科学
药学
外科
临床神经病学
肿瘤学
细胞生物学
遗传学
公共卫生&环境&职业病
应用微生物学
全科医学
免疫学
动物学
精神病学
兽医学
心血管
放射&核医学&医学影像学
儿科
医学进展
微生物学
护理学
生物学
牙科&口腔外科
毒理学
生理学
医院管理
妇产科学
病理学
生化技术
胃肠&肝脏病学
运动科学
心理学
营养学
血液学
泌尿科学&肾病学
生物医学工程
感染病
生物物理学
矫形
外周血管病
药物化学
皮肤病学
康复学
眼科学
行为科学
呼吸学
进化生物学
老年医学
耳鼻喉科学
发育生物学
寄生虫学
病毒学
医学实验室检查技术
生殖生物学
风湿病学
麻醉学
危重病护理
生物材料
移植
医学情报
其他学科
人类生活必需品
作业;运输
化学;冶金
纺织;造纸
固定建筑物
机械工程;照明;加热;武器;爆破
物理
电学
人类生活必需品
作业;运输
化学;冶金
纺织;造纸
固定建筑物
机械工程;照明;加热;武器;爆破
物理
电学
马克思主义、列宁主义、毛泽东思想、邓小平理论
哲学、宗教
社会科学总论
政治、法律
军事
经济
文化、科学、教育、体育
语言、文字
文学
艺术
历史、地理
自然科学总论
数理科学和化学
天文学、地球科学
生物科学
医药、卫生
农业科学
工业技术
交通运输
航空、航天
环境科学、安全科学
综合性图书
主题
主题
题名
作者
关键词
摘要
高级搜索 >
外文期刊
外文会议
外文学位
外国专利
外文图书
外文OA文献
中文期刊
中文会议
中文学位
中国专利
中文图书
外文科技报告
清除
历史搜索
清空历史
首页
>
外文会议
>
Metrology, Inspection, and Process Control for Microlithography XVII
Metrology, Inspection, and Process Control for Microlithography XVII
召开年:
2003
召开地:
Santa Clara, CA(US)
出版时间:
-
会议文集:
-
会议论文
热门论文
全部论文
全选(
0
)
清除
导出
1.
Making Carbon Nanotube Probes for High Aspect Ratio Scanning Probe Metrology
机译:
制作用于高纵横比扫描探针计量学的碳纳米管探针
作者:
Y. N. Emirov
;
M. Beerbom
;
D. A. Walters
;
Z. F. Ren
;
Z.P. Huang
;
B. B. Rossie
;
R. Schlaf
会议名称:
《Metrology, Inspection, and Process Control for Microlithography XVII》
|
2003年
2.
Overlay Considerations for 300mm Lithography
机译:
300mm光刻的叠加注意事项
作者:
Tobias Mono
;
Uwe Paul Schroeder
;
Dieter Nees
;
Katrin Palitzsch
;
Wolfram Koestler
;
Jens Bruch
;
Sirko Kramp
;
Markus Veldkamp
;
Ralf Schuster
会议名称:
《Metrology, Inspection, and Process Control for Microlithography XVII》
|
2003年
关键词:
300mm;
lithography;
overlay;
process-induced;
systematical overlay error;
3.
CD-SEM Image Acquisition Effects on 193nm Resists Line Slimming
机译:
CD-SEM图像采集对193nm电阻线细化的影响
作者:
N. Sullivan
;
M. Mastovich
;
S. Bowdoin
;
R. Brandom
会议名称:
《Metrology, Inspection, and Process Control for Microlithography XVII》
|
2003年
4.
FTIR-based non-destructive method for metrology of depths in poly silicon filled trenches
机译:
基于FTIR的多晶硅填充沟槽深度计量的无损方法
作者:
Shoaib Zaidi
;
George Stojakovic
;
Alois Gutmann
;
Cornel Bozdog
;
Ulrich Mantz
;
Sylvie Bosch Charpenay
;
Peter Rosenthal
会议名称:
《Metrology, Inspection, and Process Control for Microlithography XVII》
|
2003年
关键词:
FTIR;
poly recess depth;
trench;
vertical transistor;
DRAM;
metrology;
SEM;
110 nm;
90 nm;
50 nm;
scatterometry;
5.
Effect of bias variation on total uncertainty of CD measurements
机译:
偏差变化对CD测量总不确定度的影响
作者:
Vladimir A. Ukraintsev
会议名称:
《Metrology, Inspection, and Process Control for Microlithography XVII》
|
2003年
关键词:
CD metrology;
precision;
P/T;
measurement bias;
line profile;
CD SEM;
CD AFM;
6.
Microscope Illumination Systems for 157 nm
机译:
157 nm的显微镜照明系统
作者:
Alexander Pesch
;
Kristina Uhlendorf
;
Arnaud Deparnay
;
Lars Erdmann
;
Peter Kuschnerus
;
Thomas Engel
;
Robert Brunner
会议名称:
《Metrology, Inspection, and Process Control for Microlithography XVII》
|
2003年
关键词:
homogenization;
157 nm excimer laser;
speckle reduction;
7.
New method for the quantitative evaluation of wafer pattern shape based on CAD data
机译:
基于CAD数据的晶圆图案形状定量评估的新方法
作者:
Ryoichi Matsuoka
;
Masanori Takahashi
;
Atsushi Uemoto
会议名称:
《Metrology, Inspection, and Process Control for Microlithography XVII》
|
2003年
关键词:
CAD data;
pattern shape evaluation;
SEM;
8.
Portable phase measuring interferometer using Shack-Hartmann method
机译:
使用Shack-Hartmann方法的便携式相位测量干涉仪
作者:
Toru Fujii
;
Jun Kougo
;
Yasushi Mizuno
;
Hiroshi Ooki
;
Masato Hamatani
会议名称:
《Metrology, Inspection, and Process Control for Microlithography XVII》
|
2003年
关键词:
on-body lens inspection;
wave-front aberration;
9.
The Challenges of Image Placement and Overlay at the 90nm and 65nm Nodes
机译:
在90nm和65nm节点上图像放置和覆盖的挑战
作者:
Walter J. Trybula
会议名称:
《Metrology, Inspection, and Process Control for Microlithography XVII》
|
2003年
10.
UV Scatterometry
机译:
紫外散射法
作者:
Petre C. Logofatu
会议名称:
《Metrology, Inspection, and Process Control for Microlithography XVII》
|
2003年
关键词:
scatterometry;
sensitivity;
grating anomalies;
ellipsometry;
phase modulation;
11.
A New Methodology to Specify Via and Contact Layer Reticles for Maximizing Process Latitude
机译:
指定通孔和接触层掩模版的新方法,可最大程度地提高工艺范围
作者:
Kirk Strozewski
;
Joe Perez
;
Anthony Vacca
;
Art Klaum
;
Keith Brankner
会议名称:
《Metrology, Inspection, and Process Control for Microlithography XVII》
|
2003年
12.
A novel diffraction based spectroscopic method for overlay metrology
机译:
一种新颖的基于衍射的光谱学叠加测量方法
作者:
Weidong Yang
;
Roger Lowe-Webb
;
Silvio Rabello
;
Jiangtao Hu
;
Je-Yi Lin
;
John Heaton
;
Mircea Dusa
;
Arie den Boef
;
Maurits van der Schaar
;
Adolph Hunter
会议名称:
《Metrology, Inspection, and Process Control for Microlithography XVII》
|
2003年
关键词:
overlay metrology;
diffraction efficiency;
spectrum;
process control;
optical metrology;
diffraction;
OCD;
scatterometry;
13.
Aerial Image Based Mask Inspection - A Development Effort to Detect What Might Impact Printing Image Quality on Wafers
机译:
基于航空影像的掩模检查-一种开发工作,以检测可能影响晶圆上印刷图像质量的因素
作者:
Roman Liebe
;
Henning Haffner
;
Shirley Hemar
;
Anja Rosenbusch
;
Jerry Chen
;
Franklin Kalk
会议名称:
《Metrology, Inspection, and Process Control for Microlithography XVII》
|
2003年
关键词:
aerial image based mask inspection;
193nm technology;
OPC;
AAPSM;
AIMS;
UIS10;
MEEF;
14.
Application of Simulation Based Defect Printability Analysis for Mask' Qualification Control
机译:
基于仿真的缺陷可印性分析在掩模质量控制中的应用
作者:
Jerry Lu
;
Alex Lu
;
Linyong Pang
;
Don Lee
;
Jiunn-Hung Chen
会议名称:
《Metrology, Inspection, and Process Control for Microlithography XVII》
|
2003年
关键词:
defect;
inspection;
wafer;
printability;
OPC;
optical;
proximity;
correction;
assist;
assistant bar;
CD;
critical dimension;
simulation;
virtual stepper;
subwavelength;
feature;
mask;
photomask;
quality;
15.
Applications of angular scatterometry for the measurement of multiply-periodic features
机译:
角散射法在多周期特征测量中的应用
作者:
Christopher J. Raymond
;
Mike Littau
;
Byungjoo Youn
;
Chang-Jin Sohn
;
Jin Ah Kim
;
Young Seog Kang
会议名称:
《Metrology, Inspection, and Process Control for Microlithography XVII》
|
2003年
关键词:
scatterometry;
optical;
metrology;
diffract;
CD;
lithography;
memory;
contact;
hole;
16.
Characterization of Overlay Mark Fidelity
机译:
叠加标记保真度的表征
作者:
Mike Adel
;
Mark Ghinovker
;
Jorge Poplawski
;
Elyakim Kassel
;
Pavel Izikson
;
Ivan Pollentier
;
Philippe Leray
;
David Laidler
会议名称:
《Metrology, Inspection, and Process Control for Microlithography XVII》
|
2003年
关键词:
overlay;
misregistration;
process robustness;
fidelity;
17.
Concept of ultra-fast at-wavelength inspection of defects on multilayer mask blanks using a laser-produced plasma source
机译:
使用激光产生的等离子体源超快速波长检查多层掩模坯料上的缺陷的概念
作者:
Toshihisa TOME
;
Tsuneo Terasawa
;
Yoshihiro Tezuka
;
Masaaki Ito
会议名称:
《Metrology, Inspection, and Process Control for Microlithography XVII》
|
2003年
关键词:
at-wavelength inspection;
ultra-fast inspection;
high NA dark-field observation;
schwarzschild objective;
two-dimensional imaging;
laser-plasma;
18.
New Atomic Force Microscope Method for Critical Dimension Metrology
机译:
临界尺寸计量学的新原子力显微镜方法
作者:
Takafumi Morimoto
;
Toru Shinaki
;
Yukio Kembo
;
Sumio Hosaka
会议名称:
《Metrology, Inspection, and Process Control for Microlithography XVII》
|
2003年
关键词:
AFM;
SPM;
critical dimension metrology;
CD-AFM;
step-in mode;
high aspect ratio;
nanometer;
in-line monitor;
19.
New criterion about the topography of W-CMP wafer's alignment mark
机译:
W-CMP晶圆对准标记的形貌新判据
作者:
Hideki Ina
;
Takahiro Matsumoto
;
Koichi Sentoku
;
Katsuhiro Matsuyama
;
Kazuhiko Katagiri
会议名称:
《Metrology, Inspection, and Process Control for Microlithography XVII》
|
2003年
关键词:
alignment;
WIS;
topography;
profile;
AFM;
rotation error;
20.
NIST-Traceable Calibration of CD-SEM Magnification Using a 100 nm Pitch Standard
机译:
使用100 nm间距标准品进行CD-SEM放大倍数的NIST跟踪校准
作者:
M. Tortonese
;
Y. Guan
;
J. Prochazka
会议名称:
《Metrology, Inspection, and Process Control for Microlithography XVII》
|
2003年
关键词:
pitch;
100 nm;
CD-SEM;
metrology;
litho metrology;
NIST-traceability;
21.
Run-to-Run CD Error Analysis and Control with Monitoring of Effective Dose and Focus
机译:
运行到运行的CD错误分析和控制,并监视有效剂量和焦点
作者:
Masafumi Asano
;
Tadahito Fujisawa
;
Kyoko Izuha
;
Soichi Inoue
会议名称:
《Metrology, Inspection, and Process Control for Microlithography XVII》
|
2003年
关键词:
effective dose;
effective focus;
monitor;
CD control;
run-to-run;
22.
Simultaneous Dose and Focus Monitoring On Product Wafers
机译:
同时对产品晶圆进行剂量和焦点监控
作者:
Brad Eichelberger
;
Berta Dinu
;
Hai Pedut
会议名称:
《Metrology, Inspection, and Process Control for Microlithography XVII》
|
2003年
关键词:
focus;
dose;
exposure;
inline;
process monitoring;
23.
A New Method to Enhance Overlay Tool Performance
机译:
增强叠加工具性能的新方法
作者:
Ravikiran Attota
;
Richard M. Silver
;
Michael Stocker
;
Egon Marx
;
Jay Jun
;
Mark Davidson
;
Robert Larrabee
会议名称:
《Metrology, Inspection, and Process Control for Microlithography XVII》
|
2003年
关键词:
overlay metrology;
optical interactions;
TIS;
truncation;
window size;
optimization;
24.
Application of Scatterometry for CD and Profile Metrology in 193nm Lithography Process Development
机译:
CD和轮廓计量散射法在193nm光刻工艺开发中的应用。
作者:
Li-Jui Chen
;
Chih-Ming Ke
;
Shinn-Sheng Yu
;
Tsai-Sheng Gau
;
Pei-Hung Chen
;
Yao-Ching Ku
;
Burn J. Lin
;
Dan Engelhard
;
Dave Hetzer
;
Jason Yang
;
Kelly Barry
;
Lip Yap
;
Wenge Yang
会议名称:
《Metrology, Inspection, and Process Control for Microlithography XVII》
|
2003年
关键词:
scatterometry;
CD metrology;
spectroscopic ellipsometry;
diffraction grating;
25.
CD Control at Low K1 Optical Lithography in DRAM Device
机译:
DRAM器件在低K1光学光刻下的CD控制
作者:
Jongkyung Hong
;
Chongsik Woo
;
Jaewoo Park
;
Byeong-ho Cho
;
Jaeseung Choi
;
Hyunjo Yang
;
Chan-ha Park
;
Yong-Chul Shin
;
Youngdea Kim
;
Goomin Jeong
;
Jung-chan Kim
;
Khil-ohk Kang
;
Chunsoo Kang
;
Jongwoon Park
;
Donggyu Yim
;
Youngwook Song
会议名称:
《Metrology, Inspection, and Process Control for Microlithography XVII》
|
2003年
关键词:
low K1;
aberration sensitivity;
pattern asymmetry;
CD uniformity;
ISI (in-situ interferometer);
26.
Characterization of photoresist spatial resolution by interferometric lithography
机译:
光刻法表征光刻胶的空间分辨率
作者:
John A. Hoffnagle
;
William D. Hinsberg
;
Prances A. Houle
;
Martha I. Sanchez
会议名称:
《Metrology, Inspection, and Process Control for Microlithography XVII》
|
2003年
关键词:
photoresist;
modulation transfer function;
materials characterization;
interferometric lithography;
27.
Enhancing Yield and Productivity with Process Control Applications for Contact and Via Module
机译:
通过用于触点和通孔模块的过程控制应用程序来提高产量和生产率
作者:
S. Majoni
;
I. Englard
会议名称:
《Metrology, Inspection, and Process Control for Microlithography XVII》
|
2003年
关键词:
metrology;
CD SEM;
profile grade;
contact analysis;
stepper throughput;
28.
Improved Gate Process Control at the 130nm Node Using Spectroscopic Ellipsometry Based Profile Metrology
机译:
使用基于光谱椭偏仪的轮廓测量技术改进了130nm节点的栅极工艺控制
作者:
J. Scott Hodges
;
Yu-Lun (Chris) Lin
;
Dale R. Burrows
;
Ray H. Chiao
;
Robert M. Peters
;
Srinivasan Rangarajan
;
Kamal Bhatia
;
Suresh Lakkapragada
会议名称:
《Metrology, Inspection, and Process Control for Microlithography XVII》
|
2003年
关键词:
scatterometry;
spectroscopic ellipsometry;
critical dimension (CD);
metrology;
300mm production;
29.
Overlay metrology simulations - Analytical and experimental validations
机译:
叠加计量模拟-分析和实验验证
作者:
Joel L. Seligson
;
Boris Golovanevsky
;
Jorge M. Poplawski
;
Mike E. Adel
;
Richard M. Silver
会议名称:
《Metrology, Inspection, and Process Control for Microlithography XVII》
|
2003年
关键词:
simulation;
overlay;
misregistration;
30.
Scatterometry As a Practical in situ Metrology Technology
机译:
散射法作为一种实用的现场计量技术
作者:
Eytan Barouch
;
Stephen L. Knodle
会议名称:
《Metrology, Inspection, and Process Control for Microlithography XVII》
|
2003年
关键词:
scatterometry;
inverse-scattering;
silicon-material-properties;
31.
Sub-nanometer Wavelength Metrology of Lithographically Prepared Structures: A Comparison of Neutron and X-ray Scattering
机译:
平版印刷结构的亚纳米波长计量:中子和X射线散射的比较
作者:
Ronald L. Jones
;
Tengjiao Hu
;
Eric K. Lin
;
Wen-li Wu
;
Diego M. Casa
;
Ndubuisi G. Orji
;
Theodore V. Vorburger
;
Patrick J. Bolton
;
George Barclay
会议名称:
《Metrology, Inspection, and Process Control for Microlithography XVII》
|
2003年
关键词:
CD metrology;
X-ray scattering;
sub-100 nm lithography;
32.
193 nm Resist: Ultra Low Voltage CDSEM Performance for sub-130 nm Contact Hole Process
机译:
193 nm电阻:用于130 nm以下接触孔工艺的超低压CDSEM性能
作者:
J. Ferri
;
M. Vieira
;
M. Reybrouck
;
M. Mastovich
;
S. Bowdoin
;
R. Brandom
;
P. Knutrud
会议名称:
《Metrology, Inspection, and Process Control for Microlithography XVII》
|
2003年
33.
A New Laboratory EUV Reflectometer for Large Optics using a Laser Plasma Source
机译:
使用激光等离子源的大型光学新型实验室EUV反射仪
作者:
Ludwig van Loyen
;
Thomas Boettger
;
Stefan Braun
;
Hermann Mai
;
Andreas Leson
;
Frank Scholze
;
Johannes Tuemmler
;
Gerhard Ulm
;
Herbert Legall
;
Peter V. Nickles
;
Wolfgang Sandner
;
Holger Stiel
;
Christian Rempel
;
Mirko Schulze
;
Joerg Brutscher
;
Fritz Macco
会议名称:
《Metrology, Inspection, and Process Control for Microlithography XVII》
|
2003年
关键词:
extreme ultraviolet;
metrology;
lithography;
at-wavelength characterization;
reflectometry;
34.
A New Way of Handling Dimensional Measurement Results for Integrated Circuit Technology
机译:
处理集成电路技术尺寸测量结果的新方法
作者:
Andras E. Vladar
;
John S. Villarrubia
;
Michael T. Postek
会议名称:
《Metrology, Inspection, and Process Control for Microlithography XVII》
|
2003年
关键词:
critical dimension;
CD;
SEM;
metrology;
measurement;
uncertainty;
error;
accuracy;
precision;
35.
Calibration and validation of projection lithography in chemically amplified resist systems using fluorescence imaging
机译:
使用荧光成像的化学放大抗蚀剂系统中投影光刻的校准和验证
作者:
Michael D. Mason
;
Krishanu Ray
;
Gilbert D. Feke
;
Robert D. Grober
;
Gerd Pohlers
;
James F. Cameron
会议名称:
《Metrology, Inspection, and Process Control for Microlithography XVII》
|
2003年
关键词:
projection lithography;
chemically amplified resist;
fluorescence imaging;
spectrofluorometric titration;
photoacid generator;
depth of modulation;
36.
Characterization of Line-Edge Roughness in Resist Patterns and Estimation of its Effect on Device Performance
机译:
电阻图案中线边缘粗糙度的表征及其对器件性能的影响的估计
作者:
Atsuko Yamaguchi
;
Ryuta Tsuchiya
;
Hiroshi Fukuda
;
Osamu Komuro
;
Hiroki Kawada
;
Takashi Iizumi
会议名称:
《Metrology, Inspection, and Process Control for Microlithography XVII》
|
2003年
关键词:
line edge roughness;
CD-SEM;
measurement parameter;
device performance;
37.
Characterizing and Understanding Stray Tilt: The Next Major Contributor to CD SEM Tool Matching
机译:
表征和理解杂散倾斜:CD SEM工具匹配的下一个主要贡献者
作者:
Eric Solecky
;
Chas Archie
;
Jason Mayer
;
Roger Cornell
;
Ofer Adan
会议名称:
《Metrology, Inspection, and Process Control for Microlithography XVII》
|
2003年
关键词:
CD SEM;
matching;
precision;
stray tilt;
38.
Electron Beam Metrology of 193 nm Resists at Ultra Low Voltage
机译:
193 nm的电子束计量学可在超低压下抵抗
作者:
N. Sullivan
;
R. Dixson
;
B. Bunday
;
M. Mastovich
;
P. Knutrud
;
P. Fabre
;
R. Brandom
会议名称:
《Metrology, Inspection, and Process Control for Microlithography XVII》
|
2003年
39.
Evaluation of Alignment Target Designs for Cu and Low K Dual Damascene Processes
机译:
铜和低K双镶嵌工艺的对准目标设计评估
作者:
Moitreyee Mukherjee-Roy
;
Navab Singh
;
Sohan. S. Mehta
;
Chik Wai Meng
;
Sim Chin Tiong
;
Francis Cheong
会议名称:
《Metrology, Inspection, and Process Control for Microlithography XVII》
|
2003年
关键词:
alignment;
overlay;
target;
misregistration;
photolithography;
low K dielectric;
damascene;
40.
Improved Model for Focus-Exposure Data Analysis
机译:
焦点曝光数据分析的改进模型
作者:
Chris A. Mack
;
Jeffrey D. Byers
会议名称:
《Metrology, Inspection, and Process Control for Microlithography XVII》
|
2003年
关键词:
focus-exposure matrix;
process window;
data analysis;
ProDATA;
41.
Lithography and Metrology Overlay Troubleshooting by Advanced Query and Multivariate Analysis
机译:
通过高级查询和多元分析进行光刻和计量覆盖故障排除
作者:
Bernd Schulz
;
Jens Krause
;
John C. Robinson
;
Craig MacNaughton
会议名称:
《Metrology, Inspection, and Process Control for Microlithography XVII》
|
2003年
关键词:
overlay;
multivariate analysis;
42.
Simulation of Repairing Thin Film Phase Defect in Masks for EUV Lithography
机译:
修复EUV光刻掩模中的薄膜相缺陷的模拟
作者:
Yeong-Uk Ko
;
David C. Joy
;
Scott Hector
;
Bing Lu
会议名称:
《Metrology, Inspection, and Process Control for Microlithography XVII》
|
2003年
关键词:
monte carlo simulation;
EUV lithography;
EUV masks;
modeling of electron beam interaction;
heat transfer;
temperature profile;
Mo/Si multi-layer thin film;
partial differential equation;
43.
Spectroscopic Ellipsometry for lithography front-end level CD control: a complete analysis for production integration
机译:
光谱椭偏仪用于光刻前端CD控制:用于生产集成的完整分析
作者:
David Herisson
;
Daniele Neira
;
Cyril Fernand
;
Philippe Thony
;
Daniel Henry
;
Stephanie Kremer
;
Marco Polli
;
Marco Guevremont
;
Assim Elazami
会议名称:
《Metrology, Inspection, and Process Control for Microlithography XVII》
|
2003年
关键词:
scatterometry;
critical dimension;
lithography control;
ellipsometry;
44.
An Improved Method to Determine Optimal Alignment Sampling Layouts
机译:
确定最佳对准采样布局的一种改进方法
作者:
Simon Chang
;
Stephen DeMoor
;
Jay Brown
;
Chris Atkinson
;
Josh Roberge
会议名称:
《Metrology, Inspection, and Process Control for Microlithography XVII》
|
2003年
关键词:
overlay;
alignment;
sampling layout;
scan direction;
stage synchronization;
45.
Characterization of charging in CDSEM for 90-nm metrology and beyond
机译:
CDSEM中90纳米及以上计量的电荷表征
作者:
Li-Jui Chen
;
Shang-Wei Lin
;
Tsai-Sheng Gau
;
Burn J. Lin
会议名称:
《Metrology, Inspection, and Process Control for Microlithography XVII》
|
2003年
关键词:
CDSEM;
charging;
OPE;
46.
Contact hole inspection by real-time optical CD metrology
机译:
实时光学CD计量的接触孔检查
作者:
J. Opsal
;
H. Chu
;
Y. Wen
;
G. Li
;
Y. C. Chang
会议名称:
《Metrology, Inspection, and Process Control for Microlithography XVII》
|
2003年
关键词:
contact hole;
real-time;
critical dimension;
scatterometry;
metrology;
47.
Demonstration of imaging interferometric microscopy (IIM)
机译:
成像干涉显微镜(IIM)演示
作者:
Christian J. Schwarz
;
Yuliya Kuznetsova
;
S. R. J. Brueck
会议名称:
《Metrology, Inspection, and Process Control for Microlithography XVII》
|
2003年
关键词:
semiconductor metrology;
optical high resolution microscopy;
phase sensitive metrology;
defect analysis;
holography;
synthetic aperture radar;
fourier optics;
frequency space synthesis;
interferometry;
off-axis illumination;
48.
Identification and Quantitative Analysis of Contaminants Found in Photolithography Purge Gases
机译:
光刻吹扫气体中污染物的鉴定和定量分析
作者:
Allan Tram
;
Jeffrey J. Spiegelman
;
Russell J. Holmes
;
Daniel Alvarez
;
Dan Lev
会议名称:
《Metrology, Inspection, and Process Control for Microlithography XVII》
|
2003年
49.
Implementation and benefits of advanced process control for lithography CD and overlay
机译:
光刻CD和覆盖层的高级过程控制的实施和优势
作者:
Lena Zavyalova
;
Chong-Cheng Fu
;
Gary Seligman
;
Perry Tapp
;
Victor Pol
会议名称:
《Metrology, Inspection, and Process Control for Microlithography XVII》
|
2003年
关键词:
lithography;
advanced process control (APC);
critical dimension (CD);
overlay, matching;
mix-and-match;
complementary phase-shift mask (c:PSM);
50.
Performance Study of New Segmented Overlay Marks for Advanced Wafer Processing
机译:
用于先进晶圆加工的新分段重叠标记的性能研究
作者:
M. Adel
;
J.A. Allgair
;
D. C. Benoit
;
M. Ghinovker
;
E. Kassel
;
C. Nelson
;
J. C. Robinson
;
G. S. Seligman
会议名称:
《Metrology, Inspection, and Process Control for Microlithography XVII》
|
2003年
关键词:
overlay;
optical metrology;
grating target;
overlay mark;
box-in-box;
51.
Top Down Versus Cross Sectional SEM Metrology and its Impact on Lithography Simulation Calibration
机译:
自上而下与横截面SEM计量学及其对光刻模拟校准的影响
作者:
Robert Jones
;
Jeffrey Byers
;
Will Conley
会议名称:
《Metrology, Inspection, and Process Control for Microlithography XVII》
|
2003年
关键词:
lithography;
simulation;
top down;
cross section;
CDSEM;
bias;
52.
The End of the Semiconductor Industry as We Know It
机译:
我们所知道的半导体行业的终结
作者:
Chris A. Mack
会议名称:
《Metrology, Inspection, and Process Control for Microlithography XVII》
|
2003年
关键词:
moore's law;
learning curve;
53.
A Simulation Study of Repeatability and Bias in the CD-SEM
机译:
CD-SEM中可重复性和偏差的仿真研究
作者:
J. S. Villarrubia
;
A. E. Vladar
;
M. T. Postek
会议名称:
《Metrology, Inspection, and Process Control for Microlithography XVII》
|
2003年
关键词:
critical dimension (CD) metrology;
model-based library;
linewidth metrology;
precision;
repeatability;
resolution, scanning electron microscopy (SEM);
54.
Accuracy in CD-SEM Metrology
机译:
CD-SEM计量学的准确性
作者:
A. V. Nikitin
;
A. Sicignano
;
D. Y. Yeremin
;
M. Sandy
;
T. Goldburt
会议名称:
《Metrology, Inspection, and Process Control for Microlithography XVII》
|
2003年
关键词:
accuracy;
precision;
CD-SEM metrology;
threshold;
linear approximation;
edge localization;
55.
Accuracy Limitations in Specular-Mode Optical Topography Extraction
机译:
镜面模式光学形貌提取中的精度限制
作者:
Fred Lewis Terry
;
Jr.
会议名称:
《Metrology, Inspection, and Process Control for Microlithography XVII》
|
2003年
56.
Comparison of Pattern Placement Errors as Measured using Traditional Overlay Targets and Design Rule Structures
机译:
使用传统重叠目标和设计规则结构测量的图案放置错误的比较
作者:
Philippe Leray
;
David Laidler
;
Ivan Pollentier
会议名称:
《Metrology, Inspection, and Process Control for Microlithography XVII》
|
2003年
关键词:
overlay;
distortion;
pattern placement error;
CD SEM overlay metrology;
precision;
57.
Critical Dimension Metrology for Sub-150nm Lithographic Films Using Real-Time Scatterometry
机译:
使用实时散射法测量低于150nm光刻胶片的临界尺寸计量
作者:
Michael J. Anderson
会议名称:
《Metrology, Inspection, and Process Control for Microlithography XVII》
|
2003年
关键词:
193 nm;
scatterometry;
lithography;
critical dimension;
CD metrology;
58.
Cross-sectional gate feature identification using top-down SEM images
机译:
使用自上而下的SEM图像进行横断面特征识别
作者:
Maki Tanaka
;
Chie Shishido
;
Yuji Takagi
;
Hidetoshi Morokuma
;
Osamu Komuro
;
Hiroyoshi Mori
会议名称:
《Metrology, Inspection, and Process Control for Microlithography XVII》
|
2003年
关键词:
critical dimension scanning electron microscopy (CD-SEM);
etched gate structure;
sidewall angle;
bottom corner roundness;
image analysis;
top-down view;
3-dimensional evaluation;
59.
Electrical linewidth metrology for systematic CD variation characterization and causal analysis
机译:
用于系统CD变化特征和因果分析的电气线宽计量
作者:
Jason P. Cain
;
Costas J. Spanos
会议名称:
《Metrology, Inspection, and Process Control for Microlithography XVII》
|
2003年
关键词:
electrical linewidth metrology;
critical dimension variation;
CD variation;
optimum sampling plan;
photolithography;
60.
Energy Flux Method for Inspection of Contact and VIA Layer Reticles
机译:
能量通量法检查接触和VIA层掩模版
作者:
Hector Garcia
;
William Volk
;
Yalin Xiong
;
Sterling Watson
;
Zongchang Yu
;
Zhian Guo
;
Lantian Wang
会议名称:
《Metrology, Inspection, and Process Control for Microlithography XVII》
|
2003年
61.
Nano precision AFM imaging by stereo deconvolution: theory, applications and experimental validation
机译:
立体反卷积的纳米精密AFM成像:理论,应用和实验验证
作者:
B. D. Aumond
;
K. Youcef-Toumi
会议名称:
《Metrology, Inspection, and Process Control for Microlithography XVII》
|
2003年
关键词:
metrology;
profilometer;
atomic force microscope;
deconvolution;
stereo imaging;
62.
New Apparent Beam Width Artifact and Measurement Methodology for CDSEM Resolution Monitoring
机译:
用于CDSEM分辨率监测的新的表观光束宽度伪像和测量方法
作者:
Jason A. Mayer
;
Kylee J. Huizenga
;
Eric P. Solecky
;
Charles N. Archie
;
George W. Banke Jr.
;
Robert M.Cogley
;
Claudine Nathan
;
James M. Robert
会议名称:
《Metrology, Inspection, and Process Control for Microlithography XVII》
|
2003年
关键词:
apparent beam width (ABW);
variance;
resolution;
critical dimension measurement;
artifact;
line edge roughness (LER);
matching;
63.
Optimizing Reticle Inspection for the X Architecture
机译:
为X体系结构优化光罩检查
作者:
Chris Aquino
会议名称:
《Metrology, Inspection, and Process Control for Microlithography XVII》
|
2003年
64.
Real-time optical CD metrology for litho process
机译:
用于光刻工艺的实时光学CD计量
作者:
J. L. Opsal
;
Y. Wen
;
J. Lee
;
W. L. Smith
会议名称:
《Metrology, Inspection, and Process Control for Microlithography XVII》
|
2003年
关键词:
real-time;
CD;
metrology;
scatterometry lithography;
65.
Scatterometry-Based Overlay Metrology'
机译:
基于散射的叠加计量学
作者:
Hsu-Ting Huang
;
Gayathri Raghavendra
;
Apo Sezginer
;
Kenneth Johnson
;
Fred Stanke
;
Michelle Zimmerman
;
Cristina Cheung
;
Makoto Miyagi
;
Bhanwar Singh
会议名称:
《Metrology, Inspection, and Process Control for Microlithography XVII》
|
2003年
关键词:
overlay;
alignment;
scatterometry;
grating;
66.
Spectroscopic Ellipsometry based Scatterometry enabling 193nm Litho and Etch process control for the 110nm technology node and beyond
机译:
基于光谱椭偏仪的散射仪,可对110nm及以后的工艺节点实现193nm光刻和蚀刻工艺控制
作者:
Thomas Hingst
;
Thomas Marschner
;
Manfred Moert
;
Jan Homilius
;
Marco Guevremont
;
John Hopkins
;
Assim Elazami
会议名称:
《Metrology, Inspection, and Process Control for Microlithography XVII》
|
2003年
关键词:
scatterometry;
spectroscopic ellipsometry;
CD;
DRAM;
gate control;
67.
Updated NIST Photomask Linewidth Standard
机译:
更新了NIST光掩模线宽标准
作者:
James Potzick
;
J. Marc Pedulla
;
Mike Stacker
会议名称:
《Metrology, Inspection, and Process Control for Microlithography XVII》
|
2003年
关键词:
metrology;
linewidth;
photomask;
traceability;
standard;
68.
Optimization of Align Marks and Overlay Targets in VIA First Dual Damascene Process
机译:
威盛第一双镶嵌工艺中对准标记和覆盖目标的优化
作者:
Dae-Ung Shin
;
Young-Bae Jeong
;
Jeong-Lyeol Park
;
Jae-Sung Choi
;
Jeong-Gun Lee
;
Dai- Hoon Lee
会议名称:
《Metrology, Inspection, and Process Control for Microlithography XVII》
|
2003年
关键词:
alignment;
overlay;
image contrast;
dual damascene;
69.
Quantitative Profile-Shape Measurement Study on a CD-SEM with Application to Etch-Bias Control and Several Different CMOS Features
机译:
CD-SEM的定量轮廓形状测量研究及其在蚀刻偏置控制和几种不同CMOS特性中的应用
作者:
Benjamin D. Bunday
;
Michael Bishop
;
John R. Swyers
;
Kevin Lensing
会议名称:
《Metrology, Inspection, and Process Control for Microlithography XVII》
|
2003年
70.
Calibration Strategies for Overlay and Registration Metrology
机译:
覆盖和配准计量的校准策略
作者:
R. M. Silver
;
M. Stocker
;
R. Attota
;
M. Bishop
;
J. Jun
;
E. Marx
;
M. Davidson
;
R. Larrabee
会议名称:
《Metrology, Inspection, and Process Control for Microlithography XVII》
|
2003年
71.
CD-SEM Measurement of Line Edge Roughness Test Patterns for 193 nm Lithography
机译:
193 nm平版印刷的线边缘粗糙度测试图案的CD-SEM测量
作者:
Benjamin D. Bunday
;
Michael Bishop
;
John S. Villarrubia
;
Andras E. Vladar
会议名称:
《Metrology, Inspection, and Process Control for Microlithography XVII》
|
2003年
72.
Implementation of a Reference Measurement System using CD-AFM
机译:
使用CD-AFM实现参考测量系统
作者:
Ronald Dixson
;
Angela Guerry
;
Marylyn Bennett
;
Theodore Vorburger
;
Ben Bunday
会议名称:
《Metrology, Inspection, and Process Control for Microlithography XVII》
|
2003年
关键词:
AFM;
metrology;
CD;
linewidth;
reference measurement system;
standards;
calibration;
traceability;
73.
Potentials for High-Pressure/Environmental SEM Microscopy for Photomask Dimensional Metrology
机译:
用于光掩模尺寸计量的高压/环境SEM显微镜的潜力
作者:
Michael T. Postek
;
Andras E. Vladar
;
Trisha Rice
;
Ralph Knowles
会议名称:
《Metrology, Inspection, and Process Control for Microlithography XVII》
|
2003年
关键词:
critical dimension;
high-pressure;
environmental microscopy;
metrology;
SEM;
scanning electron microscopy;
74.
Scatterometry measurement precision and accuracy below 70 nm
机译:
散射测量的精度和准确度低于70 nm
作者:
Matthew Sendelbach
;
Chas Archie
会议名称:
《Metrology, Inspection, and Process Control for Microlithography XVII》
|
2003年
关键词:
scatterometry;
precision;
accuracy;
3D metrology;
reference measurement system;
RMS;
CD-SEM;
CD-AFM;
total measurement uncertainty;
TMU;
意见反馈
回到顶部
回到首页