掌桥科研
一站式科研服务平台
科技查新
收录引用
专题文献检索
外文数据库(机构版)
更多产品
首页
成为会员
我要充值
退出
我的积分:
中文会员
开通
中文文献批量获取
外文会员
开通
外文文献批量获取
我的订单
会员中心
我的包量
我的余额
登录/注册
文献导航
中文期刊
>
中文会议
>
中文学位
>
中国专利
>
外文期刊
>
外文会议
>
外文学位
>
外国专利
>
外文OA文献
>
外文科技报告
>
中文图书
>
外文图书
>
工业技术
基础科学
医药卫生
农业科学
教科文艺
经济财政
社会科学
哲学政法
其他
工业技术
基础科学
医药卫生
农业科学
教科文艺
经济财政
社会科学
哲学政法
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
马克思主义、列宁主义、毛泽东思想、邓小平理论
哲学、宗教
社会科学总论
政治、法律
军事
经济
文化、科学、教育、体育
语言、文字
文学
艺术
历史、地理
自然科学总论
数理科学和化学
天文学、地球科学
生物科学
医药、卫生
农业科学
工业技术
交通运输
航空、航天
环境科学、安全科学
综合性图书
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
美国国防部AD报告
美国能源部DE报告
美国航空航天局NASA报告
美国商务部PB报告
外军国防科技报告
美国国防部
美国参联会主席指示
美国海军
美国空军
美国陆军
美国海军陆战队
美国国防技术信息中心(DTIC)
美军标
美国航空航天局(NASA)
战略与国际研究中心
美国国土安全数字图书馆
美国科学研究出版社
兰德公司
美国政府问责局
香港科技大学图书馆
美国海军研究生院图书馆
OALIB数据库
在线学术档案数据库
数字空间系统
剑桥大学机构知识库
欧洲核子研究中心机构库
美国密西根大学论文库
美国政府出版局(GPO)
加利福尼亚大学数字图书馆
美国国家学术出版社
美国国防大学出版社
美国能源部文献库
美国国防高级研究计划局
美国陆军协会
美国陆军研究实验室
英国空军
美国国家科学基金会
美国战略与国际研究中心-导弹威胁网
美国科学与国际安全研究所
法国国际关系战略研究院
法国国际关系研究所
国际宇航联合会
美国防务日报
国会研究处
美国海运司令部
北约
盟军快速反应部队
北约浅水行动卓越中心
北约盟军地面部队司令部
北约通信信息局
北约稳定政策卓越中心
美国国会研究服务处
美国国防预算办公室
美国陆军技术手册
一般OA
科技期刊论文
科技会议论文
图书
科技报告
科技专著
标准
其它
美国卫生研究院文献
分子生物学
神经科学
药学
外科
临床神经病学
肿瘤学
细胞生物学
遗传学
公共卫生&环境&职业病
应用微生物学
全科医学
免疫学
动物学
精神病学
兽医学
心血管
放射&核医学&医学影像学
儿科
医学进展
微生物学
护理学
生物学
牙科&口腔外科
毒理学
生理学
医院管理
妇产科学
病理学
生化技术
胃肠&肝脏病学
运动科学
心理学
营养学
血液学
泌尿科学&肾病学
生物医学工程
感染病
生物物理学
矫形
外周血管病
药物化学
皮肤病学
康复学
眼科学
行为科学
呼吸学
进化生物学
老年医学
耳鼻喉科学
发育生物学
寄生虫学
病毒学
医学实验室检查技术
生殖生物学
风湿病学
麻醉学
危重病护理
生物材料
移植
医学情报
其他学科
人类生活必需品
作业;运输
化学;冶金
纺织;造纸
固定建筑物
机械工程;照明;加热;武器;爆破
物理
电学
人类生活必需品
作业;运输
化学;冶金
纺织;造纸
固定建筑物
机械工程;照明;加热;武器;爆破
物理
电学
马克思主义、列宁主义、毛泽东思想、邓小平理论
哲学、宗教
社会科学总论
政治、法律
军事
经济
文化、科学、教育、体育
语言、文字
文学
艺术
历史、地理
自然科学总论
数理科学和化学
天文学、地球科学
生物科学
医药、卫生
农业科学
工业技术
交通运输
航空、航天
环境科学、安全科学
综合性图书
主题
主题
题名
作者
关键词
摘要
高级搜索 >
外文期刊
外文会议
外文学位
外国专利
外文图书
外文OA文献
中文期刊
中文会议
中文学位
中国专利
中文图书
外文科技报告
清除
历史搜索
清空历史
首页
>
外文会议
>
Annual conference on Design automation;Conference on Design automation
Annual conference on Design automation;Conference on Design automation
召开年:
2005
召开地:
Anaheim, CA(US);Anaheim, CA(US)
出版时间:
-
会议文集:
-
会议论文
热门论文
全部论文
全选(
0
)
清除
导出
1.
A unified optimization framework for equalization filter synthesis
机译:
均衡滤波器综合的统一优化框架
作者:
Jihong Ren
;
Mark Greenstreet
会议名称:
《Annual conference on Design automation;Conference on Design automation》
|
2005年
关键词:
optimal synthesis;
2.
An exact jumper insertion algorithm for antenna effect avoidance/fixing
机译:
避免/固定天线效应的精确跳线插入算法
作者:
Bor-Yiing Su
;
Yao-Wen Chang
;
PYao-Wen Chang
会议名称:
《Annual conference on Design automation;Conference on Design automation》
|
2005年
关键词:
jumper insertion;
3.
Circuit optimization using statistical static timing analysis
机译:
使用统计静态时序分析进行电路优化
作者:
Aseem Agarwal
;
Kaviraj Chopra
;
David Blaauw
;
Vladimir Zolotov
;
PAseem Agarwal
;
PDavid Blaauw
;
PVladimir Zolotov
会议名称:
《Annual conference on Design automation;Conference on Design automation》
|
2005年
4.
Performance simulation modeling for fast evaluation of pipelined scalar processor by evaluation reuse
机译:
通过评估重用快速评估流水线标量处理器的性能仿真模型
作者:
Ho Young Kim
;
Tag Gon Kim
;
PTag Gon Kim
会议名称:
《Annual conference on Design automation;Conference on Design automation》
|
2005年
关键词:
trace-driven simulation;
5.
Structural search for RTL with predicate learning
机译:
通过谓词学习对RTL进行结构化搜索
作者:
G. Parthasarathy
;
M. K. Iyer
;
K. T. Cheng
;
F. Brewer
;
PG. Parthasarathy
;
PM. K. Iyer
;
PF. Brewer
会议名称:
《Annual conference on Design automation;Conference on Design automation》
|
2005年
关键词:
satisfiability;
6.
Beyond safety
机译:
超越安全
作者:
Malay K. Ganai
;
Aarti Gupta
;
Pranav Ashar
;
PAarti Gupta
会议名称:
《Annual conference on Design automation;Conference on Design automation》
|
2005年
关键词:
unbounded model checking;
7.
Path based buffer insertion
机译:
基于路径的缓冲区插入
作者:
C. N. Sze
;
Charles J. Alpert
;
Jiang Hu
;
Weiping Shi
;
PC. N. Sze
;
PCharles J. Alpert
;
PJiang Hu
;
PWeiping Shi
会议名称:
《Annual conference on Design automation;Conference on Design automation》
|
2005年
关键词:
power minimization;
8.
Wireless platforms
机译:
无线平台
作者:
Francine Bacchini
;
Jan Rabaey
;
Allan Cox
;
Frank Lane
;
Rudi Lauwereins
;
Ulrich Ramacher
;
David Witt
;
PFrancine Bacchini
;
PJan Rabaey
;
PUlrich Ramacher
;
PDavid Witt
会议名称:
《Annual conference on Design automation;Conference on Design automation》
|
2005年
关键词:
wireless architectures;
9.
Optimal procrastinating voltage scheduling for hard real-time systems
机译:
硬实时系统的最佳延迟电压调度
作者:
Yan Zhang
;
Zhijian Lu
;
John Lach
;
Kevin Skadron
;
Mircea R. Stan
;
PYan Zhang
;
PZhijian Lu
;
PJohn Lach
;
PKevin Skadron
;
PMircea R. Stan
会议名称:
《Annual conference on Design automation;Conference on Design automation》
|
2005年
关键词:
real-time scheduling;
10.
A combined feasibility and performance macromodel for analog circuits
机译:
模拟电路的可行性和性能的组合宏模型
作者:
Mengmeng Ding
;
Ranga Vemuri
;
PRanga Vemuri
会议名称:
《Annual conference on Design automation;Conference on Design automation》
|
2005年
关键词:
performance macromodeling;
11.
Temperature-aware resource allocation and binding in high-level synthesis
机译:
高层综合中的温度感知资源分配和绑定
作者:
Rajarshi Mukherjee
;
Seda Ogrenci Memik
;
Gokhan Memik
;
PRajarshi Mukherjee
;
PGokhan Memik
会议名称:
《Annual conference on Design automation;Conference on Design automation》
|
2005年
关键词:
temperature;
12.
Normalization at the arithmetic bit level
机译:
算术位级别的归一化
作者:
Markus Wedler
;
Dominik Stoffel
;
Wolfgang Kunz
;
PMarkus Wedler
;
PWolfgang Kunz
会议名称:
《Annual conference on Design automation;Conference on Design automation》
|
2005年
关键词:
property checking;
13.
Path delay test compaction with process variation tolerance
机译:
具有过程变化容限的路径延迟测试压缩
作者:
Seiji Kajihara
;
Masayasu Fukunaga
;
Xiaoqing Wen
;
Toshiyuki Maeda
;
Shuji Hamada
;
Yasuo Sato
;
PSeiji Kajihara
;
PMasayasu Fukunaga
;
PXiaoqing Wen
;
PToshiyuki Maeda
;
PShuji Hamada
;
PYasuo Sato
会议名称:
《Annual conference on Design automation;Conference on Design automation》
|
2005年
关键词:
test compaction;
14.
Self-Compensating Design for Focus Variation
机译:
焦点变化的自补偿设计
作者:
Puneet Gupta
;
Andrew B. Kahng
;
Youngmin Kim
;
Dennis Sylvester
;
PPuneet Gupta
;
PYoungmin Kim
;
PDennis Sylvester
会议名称:
《Annual conference on Design automation;Conference on Design automation》
|
2005年
关键词:
variation;
15.
Operator-based model-order reduction of linear periodically time-varying systems
机译:
线性周期性时变系统的基于算子的模型阶约简
作者:
Yayun Wan
;
Jaijeet Roychowdhury
;
PYayun Wan
;
PJaijeet Roychowdhury
会议名称:
《Annual conference on Design automation;Conference on Design automation》
|
2005年
关键词:
operator;
16.
RF MEMS in wireless architectures
机译:
无线架构中的RF MEMS
作者:
Clark T.-C. Nguyen
会议名称:
《Annual conference on Design automation;Conference on Design automation》
|
2005年
关键词:
switch;
17.
Word level predicate abstraction and refinement for verifying RTL verilog
机译:
单词级别谓词的抽象和完善,用于验证RTL Verilog
作者:
Himanshu Jain
;
Daniel Kroening
;
Natasha Sharygina
;
Edmund Clarke
;
PDaniel Kroening
;
PEdmund Clarke
会议名称:
《Annual conference on Design automation;Conference on Design automation》
|
2005年
关键词:
verilog;
18.
Mixed signal design space exploration through analog platforms
机译:
通过模拟平台进行混合信号设计空间探索
作者:
F. De Bernardinis
;
P. Nuzzo
;
A. Sangiovanni Vincentelli
;
PF. De Bernardinis
;
PA. Sangiovanni Vincentelli
会议名称:
《Annual conference on Design automation;Conference on Design automation》
|
2005年
19.
Exploring technology alternatives for nano-scale FPGA interconnects
机译:
探索纳米级FPGA互连的技术替代方案
作者:
Aman Gayasen
;
N. Vijaykrishnan
;
M. J. Irwin
;
PN. Vijaykrishnan
;
PM. J. Irwin
会议名称:
《Annual conference on Design automation;Conference on Design automation》
|
2005年
关键词:
nanotechnology;
20.
Race-condition-aware clock skew scheduling
机译:
竞争条件感知时钟偏斜调度
作者:
Shih-Hsu Huang
;
Yow-Tyng Nieh
;
Feng-Pin Lu
;
PShih-Hsu Huang
;
PYow-Tyng Nieh
会议名称:
《Annual conference on Design automation;Conference on Design automation》
|
2005年
关键词:
timing optimization;
21.
TCAM enabled on-chip logic minimization
机译:
启用TCAM的片上逻辑最小化
作者:
Seraj Ahmad
;
Rabi Mahapatra
;
PSeraj Ahmad
会议名称:
《Annual conference on Design automation;Conference on Design automation》
|
2005年
关键词:
on-chip;
22.
Implementing low-power configurable processors
机译:
实施低功耗可配置处理器
作者:
John Wei
;
Chris Rowen
;
PJohn Wei
;
PChris Rowen
会议名称:
《Annual conference on Design automation;Conference on Design automation》
|
2005年
关键词:
scaled VDD;
23.
Logic block clustering of large designs for channel-width constrained FPGAs
机译:
适用于通道宽度受限FPGA的大型设计的逻辑块集群
作者:
Marvin Tom
;
Guy Lemieux
;
PGuy Lemieux
会议名称:
《Annual conference on Design automation;Conference on Design automation》
|
2005年
关键词:
packing;
24.
Timing-driven placement by grid-warping
机译:
通过网格扭曲实现时序驱动的放置
作者:
Zhong Xiu
;
Rob A. Rutenbar
;
PRob A. Rutenbar
会议名称:
《Annual conference on Design automation;Conference on Design automation》
|
2005年
关键词:
placement;
25.
OPERA
机译:
歌剧
作者:
Yang Xu
;
Kan-Lin Hsiung
;
Xin Li
;
Ivan Nausieda
;
Stephen Boyd
;
Lawrence Pileggi
;
PYang Xu
;
PXin Li
;
PStephen Boyd
;
PLawrence Pileggi
会议名称:
《Annual conference on Design automation;Conference on Design automation》
|
2005年
关键词:
statistical;
26.
Net weighting to reduce repeater counts during placement
机译:
净加权以减少放置期间的中继器数量
作者:
Brent Goplen
;
Prashant Saxena
;
Sachin Sapatnekar
;
PBrent Goplen
;
PPrashant Saxena
;
PSachin Sapatnekar
会议名称:
《Annual conference on Design automation;Conference on Design automation》
|
2005年
关键词:
scaling;
27.
A 135Mbps DVB-S2 compliant codec based on 64800-bit LDPC and BCH codes (ISSCC paper 24.3)
机译:
基于64800位LDPC和BCH码的135Mbps DVB-S2兼容编解码器(ISSCC文件24.3)
作者:
P. Urard
;
L. Paumier
;
P. Georgelin
;
T. Michel
;
V. Lebars
;
E. Yeo
;
B. Gupta
;
PP. Urard
;
PP. Georgelin
;
PB. Gupta
会议名称:
《Annual conference on Design automation;Conference on Design automation》
|
2005年
关键词:
LDPC;
28.
Floorplan-aware automated synthesis of bus-based communication architectures
机译:
基于平面图的基于总线的通信体系结构的自动综合
作者:
Sudeep Pasricha
;
Nikil Dutt
;
Elaheh Bozorgzadeh
;
Mohamed Ben-Romdhane
;
PSudeep Pasricha
;
PNikil Dutt
;
PElaheh Bozorgzadeh
;
PMohamed Ben-Romdhane
会议名称:
《Annual conference on Design automation;Conference on Design automation》
|
2005年
关键词:
systems-on-chip;
29.
BEOL variability and impact on RC extraction
机译:
BEOL变异性及其对RC提取的影响
作者:
Nagaraj NS
;
Tom Bonifield
;
Abha Singh
;
Clive Bittlestone
;
Usha Narasimha
;
Viet Le
;
Anthony Hill
;
PNagaraj NS
;
PAnthony Hill
会议名称:
《Annual conference on Design automation;Conference on Design automation》
|
2005年
关键词:
process variation;
30.
Are there economic benefits in DFM?
机译:
DFM有经济利益吗?
作者:
Matt Nowak
;
Riko Radojcic
;
PRiko Radojcic
会议名称:
《Annual conference on Design automation;Conference on Design automation》
|
2005年
关键词:
foundries;
31.
StressTest
机译:
压力测试
作者:
Ilya Wagner
;
Valeria Bertacco
;
Todd Austin
;
PIlya Wagner
;
PValeria Bertacco
;
PTodd Austin
会议名称:
《Annual conference on Design automation;Conference on Design automation》
|
2005年
关键词:
high-performance simulation;
32.
Minimising buffer requirements of synchronous dataflow graphs with model checking
机译:
通过模型检查最小化同步数据流图的缓冲区需求
作者:
Marc Geilen
;
Twan Basten
;
Sander Stuijk
;
PMarc Geilen
;
PTwan Basten
会议名称:
《Annual conference on Design automation;Conference on Design automation》
|
2005年
关键词:
synchronous dataflow;
33.
Segregation by primary phase factors
机译:
初级相分离
作者:
Thomas J. Klemas
;
Luca Daniel
;
Jacob K. White
;
PLuca Daniel
;
PJacob K. White
会议名称:
《Annual conference on Design automation;Conference on Design automation》
|
2005年
关键词:
reduction;
34.
Efficient fingerprint-based user authentication for embedded systems
机译:
嵌入式系统的基于指纹的高效用户身份验证
作者:
Pallav Gupta
;
Srivaths Ravi
;
Anand Raghunathan
;
Niraj K. Jha
;
PAnand Raghunathan
会议名称:
《Annual conference on Design automation;Conference on Design automation》
|
2005年
关键词:
user authentication;
35.
SEU tolerant device, circuit and processor design
机译:
容忍SEU的设备,电路和处理器设计
作者:
William Heidergott
会议名称:
《Annual conference on Design automation;Conference on Design automation》
|
2005年
关键词:
temporal redundancy;
36.
Total power reduction in CMOS circuits via gate sizing and multiple threshold voltages
机译:
通过栅极尺寸调整和多个阈值电压降低CMOS电路的总功耗
作者:
Feng Gao
;
John P. Hayes
;
PFeng Gao
;
PJohn P. Hayes
会议名称:
《Annual conference on Design automation;Conference on Design automation》
|
2005年
关键词:
low power;
37.
Systematic development of analog circuit structural macromodels through behavioral model decoupling
机译:
通过行为模型解耦系统开发模拟电路结构宏模型
作者:
Ying Wei
;
Alex Doboli
;
PYing Wei
;
PAlex Doboli
会议名称:
《Annual conference on Design automation;Conference on Design automation》
|
2005年
关键词:
structural macromodel;
38.
Memory access optimization through combined code scheduling, memory allocation, and array binding in embedded system design
机译:
通过嵌入式系统设计中的组合代码调度,内存分配和数组绑定来优化内存访问
作者:
Jungeun Kim
;
Taewhan Kim
;
PJungeun Kim
;
PTaewhan Kim
会议名称:
《Annual conference on Design automation;Conference on Design automation》
|
2005年
关键词:
scheduling;
39.
N-detection under transparent-scan
机译:
透明扫描下的N检测
作者:
Irith Pomeranz
;
PIrith Pomeranz
会议名称:
《Annual conference on Design automation;Conference on Design automation》
|
2005年
关键词:
test generation;
40.
ICCAP
机译:
基普
作者:
Rong Jiang
;
Yi-Hao Chang
;
Charlie Chung-Ping Chen
;
PRong Jiang
;
PCharlie Chung-Ping Chen
会议名称:
《Annual conference on Design automation;Conference on Design automation》
|
2005年
关键词:
parasitic;
41.
Constraint-aware robustness insertion for optimal noise-tolerance enhancement in VLSI circuits
机译:
约束感知的鲁棒性插入可在VLSI电路中实现最佳的噪声容限增强
作者:
Chong Zhao
;
Yi Zhao
;
Sujit Dey
;
PYi Zhao
;
PSujit Dey
会议名称:
《Annual conference on Design automation;Conference on Design automation》
|
2005年
关键词:
robustness insertion;
42.
A watermarking system for IP protection by a post layout incremental router
机译:
通过布局后增量路由器实现IP保护的水印系统
作者:
Tingyuan Nie
;
Tomoo Kisaka
;
Masahiko Toyonaga
会议名称:
《Annual conference on Design automation;Conference on Design automation》
|
2005年
关键词:
watermarking;
43.
High performance computing on fault-prone nanotechnologies
机译:
易错纳米技术的高性能计算
作者:
Andrey V. Zykov
;
Elias Mizan
;
Margarida F. Jacome
;
Gustavo de Veciana
;
Ajay Subramanian
;
PGustavo de Veciana
会议名称:
《Annual conference on Design automation;Conference on Design automation》
|
2005年
关键词:
reliability-delay trade-offs;
44.
MP core
机译:
MP这
作者:
Yan Meng
;
Andrew P. Brown
;
Ronald A. Iltis
;
Timothy Sherwood
;
Hua Lee
;
Ryan Kastner
;
PYan Meng
;
PTimothy Sherwood
;
PHua Lee
;
PRyan Kastner
会议名称:
《Annual conference on Design automation;Conference on Design automation》
|
2005年
关键词:
matching pursuit algorithm;
45.
Microarchitecture-aware floorplanning using a statistical design of experiments approach
机译:
采用统计设计的实验方法进行微体系结构布局规划
作者:
Vidyasagar Nookala
;
Ying Chen
;
David J. Lilja
;
Sachin S. Sapatnekar
;
PYing Chen
会议名称:
《Annual conference on Design automation;Conference on Design automation》
|
2005年
关键词:
wire pipelining;
46.
Hardware speech recognition for user interfaces in low cost, low power devices
机译:
低成本,低功耗设备中用于用户界面的硬件语音识别
作者:
Sergiu Nedevschi
;
Rabin K. Patra
;
Eric A. Brewer
会议名称:
《Annual conference on Design automation;Conference on Design automation》
|
2005年
关键词:
tamil;
47.
A DFT approach for diagnosis and process variation-aware structural test of thermometer coded current steering DACs
机译:
DFT方法用于温度计编码的电流控制DAC的诊断和过程感知结构测试
作者:
Rasit Onur Topaloglu
;
Alex Orailoglu
;
PRasit Onur Topaloglu
;
PAlex Orailoglu
会议名称:
《Annual conference on Design automation;Conference on Design automation》
|
2005年
48.
A variation tolerant subthreshold design approach
机译:
容许变化的亚阈值设计方法
作者:
Nikhil Jayakumar
;
Sunil P. Khatri
会议名称:
《Annual conference on Design automation;Conference on Design automation》
|
2005年
关键词:
variation-toleran;
49.
A lattice-based framework for the classification and design of asynchronous pipelines
机译:
基于网格的异步管道分类和设计框架
作者:
Peggy B. McGee
;
Steven M. Nowick
;
PSteven M. Nowick
会议名称:
《Annual conference on Design automation;Conference on Design automation》
|
2005年
关键词:
protocols;
50.
A design platform for 90-nm leakage reduction techniques
机译:
用于90nm泄漏减少技术的设计平台
作者:
Philippe Royannez
;
Hugh Mair
;
Franck Dahan
;
Mike Wagner
;
Mark Streeter
;
Laurent Bouetel
;
Joel Blasquez
;
H. Clasen
;
G. Semino
;
Julie Dong
;
D. Scott
;
B. Pitts
;
Claudine Raibaut
;
Uming Ko
;
PD. Scott
会议名称:
《Annual conference on Design automation;Conference on Design automation》
|
2005年
关键词:
wireless application processor;
51.
An effective DFM strategy requires accurate process and IP pre-characterization
机译:
有效的DFM策略需要准确的流程和IP预表征
作者:
Carlo Guardiani
;
Massimo Bertoletti
;
Nicola Dragone
;
Marco Malcotti
;
Patrick McNamara
;
PNicola Dragone
会议名称:
《Annual conference on Design automation;Conference on Design automation》
|
2005年
关键词:
yield models;
52.
Variation-tolerant circuits
机译:
容差电路
作者:
Jim Tschanz
;
Keith Bowman
;
Vivek De
;
PKeith Bowman
;
PVivek De
会议名称:
《Annual conference on Design automation;Conference on Design automation》
|
2005年
关键词:
parameter variation;
53.
FPGA technology mapping
机译:
FPGA技术映射
作者:
Andrew Ling
;
Deshanand P. Singh
;
Stephen D. Brown
;
PDeshanand P. Singh
;
PStephen D. Brown
会议名称:
《Annual conference on Design automation;Conference on Design automation》
|
2005年
关键词:
resynthesis optimization;
54.
Automated nonlinear Macromodelling of output buffers for high-speed digital applications
机译:
用于高速数字应用的输出缓冲器的自动非线性宏建模
作者:
Ning Dong
;
Jaijeet Roychowdhury
;
PNing Dong
;
PJaijeet Roychowdhury
会议名称:
《Annual conference on Design automation;Conference on Design automation》
|
2005年
关键词:
nonlinear macromodeling;
55.
From myth to methodology
机译:
从神话到方法
作者:
Wolfgang Eberle
;
Bruno Bougard
;
Sofie Pollin
;
Francky Catthoor
;
PBruno Bougard
;
PSofie Pollin
;
PFrancky Catthoor
会议名称:
《Annual conference on Design automation;Conference on Design automation》
|
2005年
关键词:
power-aware design;
56.
FLEXBUS
机译:
FLEXBUS
作者:
Krishna Sekar
;
Kanishka Lahiri
;
Anand Raghunathan
;
Sujit Dey
;
PKrishna Sekar
;
PKanishka Lahiri
;
PAnand Raghunathan
;
PSujit Dey
会议名称:
《Annual conference on Design automation;Conference on Design automation》
|
2005年
关键词:
on-chip bus;
57.
Performance space modeling for hierarchical synthesis of analog integrated circuits
机译:
用于模拟集成电路分层合成的性能空间建模
作者:
Georges Gielen
;
Trent McConaghy
;
Tom Eeckelaert
;
PGeorges Gielen
会议名称:
《Annual conference on Design automation;Conference on Design automation》
|
2005年
关键词:
hierarchical synthesis;
58.
RADAR
机译:
雷达
作者:
Joydeep Mitra
;
Peng Yu
;
David Z. Pan
;
PPeng Yu
;
PDavid Z. Pan
会议名称:
《Annual conference on Design automation;Conference on Design automation》
|
2005年
关键词:
lithography;
59.
Simulation of the effects of timing jitter in track-and-hold and sample-and-hold circuits
机译:
模拟采样保持电路中时序抖动的影响
作者:
V. Vasudevan
;
PV. Vasudevan
会议名称:
《》
|
2005年
关键词:
sampling circuits;
60.
Scalable trajectory methods for on-demand analog macromodel extraction
机译:
按需模拟宏模型提取的可扩展轨迹方法
作者:
Saurabh K. Tiwary
;
Rob A. Rutenbar
;
PRob A. Rutenbar
会议名称:
《Annual conference on Design automation;Conference on Design automation》
|
2005年
关键词:
trajectory method;
61.
Secure scan
机译:
安全扫描
作者:
Bo Yang
;
Kaijie Wu
;
Ramesh Karri
;
PBo Yang
;
PKaijie Wu
;
PRamesh Karri
会议名称:
《Annual conference on Design automation;Conference on Design automation》
|
2005年
关键词:
testability;
62.
A green function-based parasitic extraction method for inhomogeneous substrate layers
机译:
基于绿色功能的非均匀衬底层寄生提取方法
作者:
Chenggang Xu
;
Ranjit Gharpurey
;
Terri S. Fiez
;
Kartikeya Mayaram
;
PRanjit Gharpurey
;
PKartikeya Mayaram
会议名称:
《Annual conference on Design automation;Conference on Design automation》
|
2005年
关键词:
substrate noise;
63.
Dynamic slack reclamation with procrastination scheduling in real-time embedded systems
机译:
实时嵌入式系统中具有拖延调度的动态松弛回收
作者:
Ravindra Jejurikar
;
Rajesh Gupta
;
PRajesh Gupta
会议名称:
《Annual conference on Design automation;Conference on Design automation》
|
2005年
关键词:
task procrastication;
64.
A side-channel leakage free coprocessor IC in 0.18μm CMOS for embedded AES-based cryptographic and biometric processing
机译:
0.18μmCMOS侧通道无泄漏协处理器IC,用于基于AES的嵌入式加密和生物识别处理
作者:
K. Tiri
;
D. Hwang
;
A. Hodjat
;
B. Lai
;
S. Yang
;
P. Schaumont
;
I. Verbauwhede
;
PD. Hwang
;
PA. Hodjat
;
PB. Lai
;
PS. Yang
;
PP. Schaumont
;
PI. Verbauwhede
会议名称:
《Annual conference on Design automation;Conference on Design automation》
|
2005年
关键词:
smart card;
65.
Partitioning-based approach to fast on-chip decap budgeting and minimization
机译:
基于分区的方法可快速实现片上封顶预算和最小化
作者:
Hang Li
;
Zhenyu Qi
;
Sheldon X.-D. Tan
;
Lifeng Wu
;
Yici Cai
;
Xianlong Hong
;
PHang Li
;
PZhenyu Qi
;
PLifeng Wu
;
PYici Cai
;
PXianlong Hong
会议名称:
《Annual conference on Design automation;Conference on Design automation》
|
2005年
关键词:
simulation;
66.
Navigating registers in placement for clock network minimization
机译:
浏览放置中的寄存器以最小化时钟网络
作者:
Yongqiang Lu
;
C. N. Sze
;
Xianlong Hong
;
Qiang Zhou
;
Yici Cai
;
Liang Huang
;
Jiang Hu
;
PYongqiang Lu
;
PC. N. Sze
;
PXianlong Hong
;
PQiang Zhou
;
PYici Cai
;
PLiang Huang
;
PJiang Hu
会议名称:
《Annual conference on Design automation;Conference on Design automation》
|
2005年
关键词:
variation tolerance;
67.
A novel synthesis approach for active leakage power reduction using dynamic supply gating
机译:
一种使用动态电源门控降低有源泄漏功率的新颖合成方法
作者:
Swarup Bhunia
;
Nilanjan Banerjee
;
Qikai Chen
;
Hamid Mahmoodi
;
Kaushik Roy
;
PNilanjan Banerjee
;
PKaushik Roy
会议名称:
《Annual conference on Design automation;Conference on Design automation》
|
2005年
68.
Approximate VCCs
机译:
近似VCC
作者:
Yanhong Liu
;
Samarjit Chakraborty
;
Wei Tsang Ooi
;
PYanhong Liu
;
PSamarjit Chakraborty
;
PWei Tsang Ooi
会议名称:
《Annual conference on Design automation;Conference on Design automation》
|
2005年
关键词:
workload;
69.
Modular domain-specific implementation and exploration framework for embedded software platforms
机译:
嵌入式软件平台的模块化领域特定实现和探索框架
作者:
Christian Sauer
;
Matthias Gries
;
Soren Sonntag
;
PChristian Sauer
;
PMatthias Gries
会议名称:
《Annual conference on Design automation;Conference on Design automation》
|
2005年
关键词:
software development;
70.
A generic micro-architectural test plan approach for microprocessor verification
机译:
用于微处理器验证的通用微体系结构测试计划方法
作者:
Allon Adir
;
Hezi Azatchi
;
Eyal Bin
;
Ofer Peled
;
Kirill Shoikhet
;
PHezi Azatchi
;
PEyal Bin
会议名称:
《Annual conference on Design automation;Conference on Design automation》
|
2005年
关键词:
test generation;
71.
Variability and energy awareness
机译:
可变性和能源意识
作者:
Diana Marculescu
;
Emil Talpes
;
PDiana Marculescu
;
PEmil Talpes
会议名称:
《Annual conference on Design automation;Conference on Design automation》
|
2005年
关键词:
variability;
72.
An effective power mode transition technique in MTCMOS circuits
机译:
MTCMOS电路中的有效功率模式转换技术
作者:
Afshin Abdollahi
;
Farzan Fallah
;
Massoud Pedram
;
PFarzan Fallah
;
PMassoud Pedram
会议名称:
《Annual conference on Design automation;Conference on Design automation》
|
2005年
73.
Closing the power gap between ASIC and custom
机译:
缩小ASIC与定制之间的能力差距
作者:
D. G. Chinnery
;
K. Keutzer
;
PD. G. Chinnery
;
PK. Keutzer
会议名称:
《》
|
2005年
关键词:
standard cell;
74.
Dynamic reconfiguration with binary translation
机译:
具有二进制翻译的动态重新配置
作者:
Antonio Carlos S. Beck
;
Luigi Carro
;
PLuigi Carro
会议名称:
《Annual conference on Design automation;Conference on Design automation》
|
2005年
关键词:
reconfigurable processors;
75.
Piece-wise approximations of RLCK circuit responses using moment matching
机译:
使用力矩匹配的RLCK电路响应的分段近似
作者:
Chirayu S. Amin
;
Yehea I. Ismail
;
Florentin Dartu
;
PYehea I. Ismail
会议名称:
《Annual conference on Design automation;Conference on Design automation》
|
2005年
关键词:
moments;
76.
Resistive-open defect injection in SRAM core-cell
机译:
SRAM核心单元中的电阻开放缺陷注入
作者:
L. Dilillo
;
P. Girard
;
S. Pravossoudovitch
;
A. Virazel
;
M. Bastian
;
PP. Girard
;
PS. Pravossoudovitch
;
PA. Virazel
;
PM. Bastian
会议名称:
《Annual conference on Design automation;Conference on Design automation》
|
2005年
关键词:
test;
77.
Low power network processor design using clock gating
机译:
使用时钟门控的低功耗网络处理器设计
作者:
Yan Luo
;
Jia Yu
;
Jun Yang
;
Laxmi Bhuyan
;
PYan Luo
;
PJia Yu
;
PJun Yang
会议名称:
《Annual conference on Design automation;Conference on Design automation》
|
2005年
关键词:
network processors;
78.
Faster and better global placement by a new transportation algorithm
机译:
通过新的运输算法更快更好地进行全球布局
作者:
Ulrich Brenner
;
Markus Struzyna
会议名称:
《Annual conference on Design automation;Conference on Design automation》
|
2005年
关键词:
transportation problem;
79.
Multilevel full-chip routing for the X-based architecture
机译:
基于X的体系结构的多级全芯片路由
作者:
Tsung-Yi Ho
;
Chen-Feng Chang
;
Yao-Wen Chang
;
Sao-Jie Chen
;
PYao-Wen Chang
会议名称:
《Annual conference on Design automation;Conference on Design automation》
|
2005年
关键词:
routing;
80.
Multiplexer restructuring for FPGA implementation cost reduction
机译:
多路复用器重组以降低FPGA实施成本
作者:
Paul Metzgen
;
Dominic Nancekievill
会议名称:
《Annual conference on Design automation;Conference on Design automation》
|
2005年
关键词:
synthesis;
81.
Towards scalable flow and context sensitive pointer analysis
机译:
迈向可扩展流和上下文敏感指针分析
作者:
Jianwen Zhu
;
PJianwen Zhu
会议名称:
《Annual conference on Design automation;Conference on Design automation》
|
2005年
关键词:
pointer analysis;
82.
Smart diagnostics for configurable processor verification
机译:
智能诊断,可配置处理器验证
作者:
Sadik Ezer
;
Scott Johnson
;
PScott Johnson
会议名称:
《Annual conference on Design automation;Conference on Design automation》
|
2005年
关键词:
functional verification;
83.
Power-aware placement
机译:
功耗意识的放置
作者:
Yongseok Cheon
;
Pei-Hsin Ho
;
Andrew B. Kahng
;
Sherief Reda
;
Qinke Wang
;
PYongseok Cheon
;
PPei-Hsin Ho
;
PSherief Reda
;
PQinke Wang
会议名称:
《Annual conference on Design automation;Conference on Design automation》
|
2005年
关键词:
net switching power;
84.
Unified high-level synthesis and module placement for defect-tolerant microfluidic biochips
机译:
耐缺陷微流生物芯片的统一高级合成和模块放置
作者:
Fei Su
;
Krishnendu Chakrabarty
;
PFei Su
;
PKrishnendu Chakrabarty
会议名称:
《Annual conference on Design automation;Conference on Design automation》
|
2005年
关键词:
synthesis;
85.
DiMES
机译:
DiMES
作者:
Dipanjan Gope
;
Indranil Chowdhury
;
Vikram Jandhyala
会议名称:
《Annual conference on Design automation;Conference on Design automation》
|
2005年
关键词:
parasitics;
86.
Frequency-based code placement for embedded multiprocessors
机译:
嵌入式多处理器的基于频率的代码放置
作者:
Corey Goldfeder
会议名称:
《Annual conference on Design automation;Conference on Design automation》
|
2005年
关键词:
multiprocessors;
87.
Architecture-adaptive range limit windowing for simulated annealing FPGA placement
机译:
架构自适应范围限制窗口,用于模拟退火FPGA放置
作者:
Ken Eguro
;
Scott Hauck
;
Akshay Sharma
;
PKen Eguro
;
PScott Hauck
会议名称:
《Annual conference on Design automation;Conference on Design automation》
|
2005年
关键词:
windowing;
88.
Robust gate sizing by geometric programming
机译:
通过几何编程实现可靠的浇口尺寸
作者:
Jaskirat Singh
;
Vidyasagar Nookala
;
Zhi-Quan Luo
;
Sachin Sapatnekar
;
PSachin Sapatnekar
会议名称:
《Annual conference on Design automation;Conference on Design automation》
|
2005年
关键词:
uncertainty ellipsoid;
89.
The Titanic
机译:
泰坦尼克号
作者:
Sani R. Nassif
;
Paul S. Zuchowski
;
Claude Moughanni
;
Mohamed Moosa
;
Stephen D. Posluszny
;
Ward Vercruysse
会议名称:
《Annual conference on Design automation;Conference on Design automation》
|
2005年
90.
Enhanced leakage reduction Technique by gate replacement
机译:
通过更换浇口增强了减少泄漏的技术
作者:
Lin Yuan
;
Gang Qu
;
PLin Yuan
;
PGang Qu
会议名称:
《Annual conference on Design automation;Conference on Design automation》
|
2005年
关键词:
leakage reduction;
91.
Advanced timing analysis based on post-OPC extraction of critical dimensions
机译:
基于OPC后关键尺寸提取的高级时序分析
作者:
Jie Yang
;
Luigi Capodieci
;
Dennis Sylvester
;
PJie Yang
;
PDennis Sylvester
会议名称:
《Annual conference on Design automation;Conference on Design automation》
|
2005年
关键词:
process CD;
92.
Interconnects are moving from MHz-GHz should you be afraid?
机译:
您应该担心互连从MHz-> GHz转移吗?
作者:
Navraj Nandra
;
Phil Dworsky
;
Rick Merritt
;
John F. DAmbrosia
;
Adam Healey
;
Boris Litinsky
;
John Stonick
;
Joe Abler
;
John F. DAmbrosia
会议名称:
《Annual conference on Design automation;Conference on Design automation》
|
2005年
93.
A general framework for accurate statistical timing analysis considering correlations
机译:
考虑相关性的精确统计时序分析的通用框架
作者:
Vishal Khandelwal
;
Ankur Srivastava
;
PAnkur Srivastava
会议名称:
《Annual conference on Design automation;Conference on Design automation》
|
2005年
关键词:
variability;
94.
DFM rules!
机译:
DFM规则!
作者:
Naveed Sherwani
;
Susan Lippincott Mack
;
Alex Alexanian
;
Premal Buch
;
Carlo Guardiani
;
Harold Lehon
;
Peter Rabkin
;
Atul Sharan
;
PNaveed Sherwani
会议名称:
《Annual conference on Design automation;Conference on Design automation》
|
2005年
关键词:
yield optimization;
95.
ESL
机译:
ESL
作者:
Francine Bacchini
;
David Maliniak
;
Terry Doherty
;
Peter McShane
;
Suhas A. Pai
;
Sriram Sundararajan
;
Soo-Kwan Eo
;
Pascal Urard
;
PFrancine Bacchini
;
PSoo-Kwan Eo
;
PPascal Urard
会议名称:
《Annual conference on Design automation;Conference on Design automation》
|
2005年
关键词:
electronic system-level design;
96.
Parameterized block-based statistical timing analysis with non-gaussian parameters, nonlinear delay functions
机译:
具有非高斯参数,非线性延迟函数的基于参数的基于块的统计时序分析
作者:
Hongliang Chang
;
Vladimir Zolotov
;
Sambasivan Narayan
;
Chandu Visweswariah
;
PVladimir Zolotov
;
PChandu Visweswariah
会议名称:
《Annual conference on Design automation;Conference on Design automation》
|
2005年
97.
Correlation-aware statistical timing analysis with non-gaussian delay distributions
机译:
具有非高斯延迟分布的相关感知统计时序分析
作者:
Yaping Zhan
;
Andrzej J. Strojwas
;
Xin Li
;
Lawrence T. Pileggi
;
David Newmark
;
Mahesh Sharma
;
PYaping Zhan
;
PXin Li
;
PLawrence T. Pileggi
;
PDavid Newmark
;
PMahesh Sharma
会议名称:
《Annual conference on Design automation;Conference on Design automation》
|
2005年
关键词:
statistical timing;
98.
Correlation-preserved non-gaussian statistical timing analysis with quadratic timing model
机译:
具有二次时序模型的相关性保留的非高斯统计时序分析
作者:
Lizheng Zhang
;
Weijen Chen
;
Yuhen Hu
;
John A. Gubner
;
Charlie Chung-Ping Chen
;
PLizheng Zhang
;
PWeijen Chen
;
PYuhen Hu
;
PCharlie Chung-Ping Chen
会议名称:
《Annual conference on Design automation;Conference on Design automation》
|
2005年
99.
A new canonical form for fast boolean matching in logic synthesis and verification
机译:
逻辑综合和验证中用于快速布尔匹配的新规范形式
作者:
Afshin Abdollahi
;
Massoud Pedram
;
PMassoud Pedram
会议名称:
《Annual conference on Design automation;Conference on Design automation》
|
2005年
100.
Effective bounding techniques for solving unate and binate covering problems
机译:
解决边界重叠问题的有效边界技术
作者:
Xiao Yu Li
;
Matthias F. Stallmann
;
Franc Brglez
;
PFranc Brglez
会议名称:
《Annual conference on Design automation;Conference on Design automation》
|
2005年
关键词:
unate;
意见反馈
回到顶部
回到首页