掌桥科研
一站式科研服务平台
科技查新
收录引用
专题文献代查
外文数据库(机构版)
更多产品
首页
成为会员
我要充值
退出
我的积分:
中文会员
开通
中文文献批量获取
外文会员
开通
外文文献批量获取
我的订单
会员中心
我的包量
我的余额
登录/注册
文献导航
中文期刊
>
中文会议
>
中文学位
>
中国专利
>
外文期刊
>
外文会议
>
外文学位
>
外国专利
>
外文OA文献
>
外文科技报告
>
中文图书
>
外文图书
>
工业技术
基础科学
医药卫生
农业科学
教科文艺
经济财政
社会科学
哲学政法
其他
工业技术
基础科学
医药卫生
农业科学
教科文艺
经济财政
社会科学
哲学政法
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
马克思主义、列宁主义、毛泽东思想、邓小平理论
哲学、宗教
社会科学总论
政治、法律
军事
经济
文化、科学、教育、体育
语言、文字
文学
艺术
历史、地理
自然科学总论
数理科学和化学
天文学、地球科学
生物科学
医药、卫生
农业科学
工业技术
交通运输
航空、航天
环境科学、安全科学
综合性图书
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
美国国防部AD报告
美国能源部DE报告
美国航空航天局NASA报告
美国商务部PB报告
外军国防科技报告
美国国防部
美国参联会主席指示
美国海军
美国空军
美国陆军
美国海军陆战队
美国国防技术信息中心(DTIC)
美军标
美国航空航天局(NASA)
战略与国际研究中心
美国国土安全数字图书馆
美国科学研究出版社
兰德公司
美国政府问责局
香港科技大学图书馆
美国海军研究生院图书馆
OALIB数据库
在线学术档案数据库
数字空间系统
剑桥大学机构知识库
欧洲核子研究中心机构库
美国密西根大学论文库
美国政府出版局(GPO)
加利福尼亚大学数字图书馆
美国国家学术出版社
美国国防大学出版社
美国能源部文献库
美国国防高级研究计划局
美国陆军协会
美国陆军研究实验室
英国空军
美国国家科学基金会
美国战略与国际研究中心-导弹威胁网
美国科学与国际安全研究所
法国国际关系战略研究院
法国国际关系研究所
国际宇航联合会
美国防务日报
国会研究处
美国海运司令部
北约
盟军快速反应部队
北约浅水行动卓越中心
北约盟军地面部队司令部
北约通信信息局
北约稳定政策卓越中心
美国国会研究服务处
美国国防预算办公室
美国陆军技术手册
一般OA
科技期刊论文
科技会议论文
图书
科技报告
科技专著
标准
其它
美国卫生研究院文献
分子生物学
神经科学
药学
外科
临床神经病学
肿瘤学
细胞生物学
遗传学
公共卫生&环境&职业病
应用微生物学
全科医学
免疫学
动物学
精神病学
兽医学
心血管
放射&核医学&医学影像学
儿科
医学进展
微生物学
护理学
生物学
牙科&口腔外科
毒理学
生理学
医院管理
妇产科学
病理学
生化技术
胃肠&肝脏病学
运动科学
心理学
营养学
血液学
泌尿科学&肾病学
生物医学工程
感染病
生物物理学
矫形
外周血管病
药物化学
皮肤病学
康复学
眼科学
行为科学
呼吸学
进化生物学
老年医学
耳鼻喉科学
发育生物学
寄生虫学
病毒学
医学实验室检查技术
生殖生物学
风湿病学
麻醉学
危重病护理
生物材料
移植
医学情报
其他学科
人类生活必需品
作业;运输
化学;冶金
纺织;造纸
固定建筑物
机械工程;照明;加热;武器;爆破
物理
电学
人类生活必需品
作业;运输
化学;冶金
纺织;造纸
固定建筑物
机械工程;照明;加热;武器;爆破
物理
电学
马克思主义、列宁主义、毛泽东思想、邓小平理论
哲学、宗教
社会科学总论
政治、法律
军事
经济
文化、科学、教育、体育
语言、文字
文学
艺术
历史、地理
自然科学总论
数理科学和化学
天文学、地球科学
生物科学
医药、卫生
农业科学
工业技术
交通运输
航空、航天
环境科学、安全科学
综合性图书
主题
主题
题名
作者
关键词
摘要
高级搜索 >
外文期刊
外文会议
外文学位
外国专利
外文图书
外文OA文献
中文期刊
中文会议
中文学位
中国专利
中文图书
外文科技报告
清除
历史搜索
清空历史
首页
>
外文会议
>
European conference on mask technology for integrated circuits and microcomponents
European conference on mask technology for integrated circuits and microcomponents
召开年:
1998
召开地:
Munich-Unterhaching(DE)
出版时间:
-
会议文集:
-
会议论文
热门论文
全部论文
全选(
0
)
清除
导出
1.
Characterization of inspection sensitivity on advanced OPC reticles
机译:
表征高级OPC标线的检查灵敏度
作者:
Larry S. Zurbrick
;
KLA-Tencor Corp.
;
San Jose
;
CA
;
USA
;
Joseph A. Straub
;
DuPont Photomasks
;
Inc.
;
Santa Clara
;
CA
;
USA
;
Anthony Vacca
;
KLA-Tencor Corp.
;
Cedar Park
;
TX
;
USA.
会议名称:
《European conference on mask technology for integrated circuits and microcomponents》
|
1999年
2.
Determination of residual stress and elastic constants of silicon open stencil masks for ion projection lithography
机译:
离子投影光刻用硅开口模板掩模的残余应力和弹性常数的确定
作者:
A.Degen
;
Univ. Kassel
;
Kassel
;
Germany
;
Feng Shi
;
Univ. Kassel
;
Kassel
;
Germany
;
E.Sossna
;
Univ. Kassel
;
Kassel
;
Germany
;
R.Sunyk
;
Univ. Kassel
;
Kasssel
;
Germany
;
Joachim Voigt
;
Univ. Kassel
;
Berlin
;
Germany
;
Burkhard E. Volland
;
Univ. Kassel
;
Kassel
;
Germany
;
B.Reinker
;
Univ. Kassel
;
Kassel
;
Germany
;
Ivo W. Rangelow
;
Univ. Kassel
;
Kassel
;
Germany.
会议名称:
《European conference on mask technology for integrated circuits and microcomponents》
|
1999年
3.
Development of a new defect sensitivity monitor for advanced OPC reticle technology
机译:
开发用于先进OPC掩模版技术的新型缺陷灵敏度监视器
作者:
Wolfgang Staud
;
Applied Materials
;
Santa Clara
;
CA
;
USA
;
Yair Eran
;
Applied Materials
;
Yavne
;
Israel
;
Patrick Reynolds
;
Benchmark Technologies
;
Lynnfield
;
MA
;
USA
;
Craig B. Sager
;
Benchmark Technologies
;
Lynnfield
;
MA
;
USA.
会议名称:
《European conference on mask technology for integrated circuits and microcomponents》
|
1999年
4.
Development of an algorithm for monitoring pattern fidelity on photomasks for 0.2-um technology and beyond based on light optical CD metrology tools
机译:
基于光学CD计量工具的0.2um及更高技术的光掩模图案保真度监控算法的开发
作者:
Thomas Schaetz
;
Siemens AG
;
Munich
;
Germany
;
Bernd Hay
;
Siemens AG
;
Muenchen
;
Germany
;
Lars Walden
;
MueTec GmbH
;
Munich
;
Germany
;
Wolfram Ziegler
;
Siemens AG
;
Muenchen
;
Germany.
会议名称:
《European conference on mask technology for integrated circuits and microcomponents》
|
1999年
5.
Development of an inductively coupled plasma etching system for 230-mm reticles
机译:
开发用于230毫米标线的感应耦合等离子体蚀刻系统
作者:
David A. Klein
;
Plasma-Therm
;
Inc.
;
Palm Harbor
;
FL
;
USA
;
John Donohue
;
Plasma-Therm
;
Inc.
;
St. Petersburg
;
FL
;
USA.
会议名称:
《European conference on mask technology for integrated circuits and microcomponents》
|
1999年
6.
Effective multisite CD correlation to maximize high-end tool utilization
机译:
有效的多站点CD相关性以最大限度地利用高端工具
作者:
John W. Duff
;
Photronics
;
Inc.
;
Allen
;
TX
;
USA
;
John Allsop
;
Photronics
;
Inc.
;
Manchester
;
United Kingdom.
会议名称:
《European conference on mask technology for integrated circuits and microcomponents》
|
1999年
7.
Experience with EFQM assessment at Siemens Mask Shop
机译:
在西门子口罩商店进行EFQM评估的经验
作者:
Werner Reindl
;
Siemens AG
;
Munich
;
Germany
;
Siegfried Steuber
;
Siemens AG
;
Munich
;
Germany.
会议名称:
《European conference on mask technology for integrated circuits and microcomponents》
|
1999年
8.
Future reticle demand and next-generation lithography technologies
机译:
未来的光罩需求和下一代光刻技术
作者:
Uwe Behringer
;
Institute for Microstructure Technology/Forschungszen trum Karlsruhe GmbH
;
Karlsruhe
;
Germany
;
Christian Ehrlich
;
Leica Microsystems Lithography GmbH
;
Jena
;
Germany
;
Olaf Fortange
;
Leica Microsystems Lithography GmbH
;
ny
;
Germany.
会议名称:
《European conference on mask technology for integrated circuits and microcomponents》
|
1999年
9.
Geometrical E-beam proximity correction for raster scan systems
机译:
光栅扫描系统的几何电子束接近校正
作者:
Nikola Belic
;
aiss GmbH
;
Munich
;
Germany
;
Hans Eisenmann
;
aiss GmbH
;
Munich
;
Germany
;
Hans Hartmann
;
aiss GmbH
;
Munich
;
Germany
;
Thomas Waas
;
aiss GmbH
;
Munich
;
Germany.
会议名称:
《European conference on mask technology for integrated circuits and microcomponents》
|
1999年
10.
Hierarchical mask data preparation and special fracturing techniques in MGS
机译:
MGS中的分层蒙版数据准备和特殊压裂技术
作者:
B.Buerger
;
Fraunhofer Institut fuer Mikroelektronische Schaltung en und Systeme
;
Dresden
;
Germany
;
Uwe Baetz
;
Fraunhofer Institut fuer Mikroelektronische Schaltung en und Systeme
;
Dresden
;
Germany
;
Klaus-Dietmar Kunze
;
Fraunhofer Institut fuer Mikroelektronische Schaltung en und Systeme
;
Dresden
;
Germany
;
H.Wolf
;
Photronics MZD
;
Dresden
;
Germany.
会议名称:
《European conference on mask technology for integrated circuits and microcomponents》
|
1999年
11.
HOYA deep-UV EAPSM blanks development status
机译:
HOYA Deep-UV EAPSM的开发现状空白
作者:
Masao Ushida
;
HOYA Corp.
;
Yamanashi
;
Japan
;
Hideo Kobayashi
;
HOYA Corp.
;
Kitakoma-gun Yamanashi
;
Japan.
会议名称:
《European conference on mask technology for integrated circuits and microcomponents》
|
1999年
12.
Initial results from a Leica ZBA31H+ shaped E-beam mask writer located at the Photronics Advanced Mask Shop in Manchester England
机译:
来自位于英国曼彻斯特的Photronics Advanced Mask Shop的Leica ZBA31H +型电子束口罩书写器的初步结果
作者:
Stephen Johnson
;
Photronics
;
Ltd.
;
Manchester
;
United Kingdom
;
Paul Marshall
;
Photronics
;
Ltd.
;
Manchester
;
United Kingdom
;
Peter Osborne
;
Photronics
;
Ltd.
;
Manchester
;
United Kingdom
;
Hans J. Doering
;
Leica Microsystems Lithography GmbH
;
Jena
;
Germany
;
Christian Ehrlich
;
Leica Microsystems Lithography GmbH
;
Jena
;
Germany.
会议名称:
《European conference on mask technology for integrated circuits and microcomponents》
|
1999年
13.
Inspecting the new generation of reticles using UV imaging
机译:
使用紫外线成像检查新一代光罩
作者:
Mark Merrill
;
KLA-Tencor Corp.
;
San Jose
;
CA
;
USA
;
James N. Wiley
;
KLA-Tencor Corp.
;
Menlo Park
;
CA
;
USA
;
Benjamin G. Eynon
;
Jr.
;
DuPont Photomasks
;
Inc.
;
Austin
;
TX
;
USA.
会议名称:
《European conference on mask technology for integrated circuits and microcomponents》
|
1999年
14.
Investigation of lithography performance using multipass gray (MPG) with MEBES 5000
机译:
使用MEBES 5000的多道灰度(MPG)研究光刻性能
作者:
Robert L. Dean
;
Etec Systems
;
Inc.
;
Hayward
;
CA
;
USA
;
David Alexander
;
Etec Systems
;
Inc.
;
Hayward
;
CA
;
USA
;
Jan M. Chabala
;
Etec Systems
;
Inc.
;
Hayward
;
CA
;
USA
;
Tom Coleman
;
Etec Systems
;
Inc.
;
Meyreuil
;
France
;
Caryn Hartglass
;
Etec Systems
;
Inc.
;
Aix-en-Provence
;
France
;
Maiying Lu
;
Etec Systems
;
Inc.
;
Hayward
;
CA
;
USA
;
Charles A. Sauer
;
Etec Systems
;
Inc.
;
Hayward
;
CA
;
USA
;
Suzanne Weaver
;
Etec Systems
;
Inc.
;
Hayward
;
CA
;
USA.
会议名称:
《European conference on mask technology for integrated circuits and microcomponents》
|
1999年
15.
Ion projection lithography for IC manufacturing
机译:
用于IC制造的离子投影光刻
作者:
Albrecht Ehrmann
;
Siemens AG
;
Muenchen
;
Germany
;
Rainer Kaesmaier
;
Siemens AG
;
Munich
;
Germany
;
Hans Loeschner
;
Ion Microfabrications Systems
;
Wien
;
Austria.
会议名称:
《European conference on mask technology for integrated circuits and microcomponents》
|
1999年
16.
Manufacturing an advanced process characterization reticle incorporating halftone biasing
机译:
制造结合了半色调偏置的先进工艺表征掩模版
作者:
Kent H. Nakagawa
;
Photronics
;
Inc.
;
Sunnyvale
;
CA
;
USA
;
Douglas Van Den Broeke
;
Photronics
;
Inc.
;
Santa Clara
;
CA
;
USA
;
Jang Fung Chen
;
MicroUnity Systems Engineering
;
Inc.
;
Sunnyvale
;
CA
;
USA
;
Tom L. Laidig
;
MicroUnity Systems Engineering
;
Inc.
;
Sunnyvale
;
CA
;
USA
;
Kurt E. Wampler
;
MicroUnity Systems Engineering
;
Inc.
;
Sunnyvale
;
CA
;
USA
;
Roger F. Caldwell
;
MicroUnity Systems Engineering
;
Inc.
;
Sunnyvale
;
CA
;
USA.
会议名称:
《European conference on mask technology for integrated circuits and microcomponents》
|
1999年
17.
Overlay mapping of microlithographic pattern generators by means ofgrouped structures,
机译:
微光刻图案发生器通过成组结构的叠加映射,
作者:
Michael Arnz
;
Carl Zeiss
;
Oberkochen
;
Germany
;
Joachim Heppner
;
Carl Zeiss
;
Oberkochen
;
Germany
;
Werner Lessle
;
Carl Zeiss
;
Oberkochen
;
Germany.
会议名称:
《European conference on mask technology for integrated circuits and microcomponents》
|
1999年
18.
Overview of SCALPEL mask technology
机译:
SCALPEL掩模技术概述
作者:
Gregory R. Bogart
;
Lucent Technologies/Bell Labs.
;
Murray Hill
;
NJ
;
USA
;
Anthony E. Novembre
;
Lucent Technologies/Bell Labs.
;
Murray Hill
;
NJ
;
USA
;
Avi Kornblit
;
Lucent Technologies/Bell Labs.
;
Murray Hill
;
NJ
;
USA
;
Milton L. Peabody
;
Lucent Technologies/Bell Labs.
;
Murray Hill
;
NJ
;
USA
;
Reginald C. Farrow
;
Lucent Technologies/Bell Labs.
;
Murray Hill
;
NJ
;
USA
;
Myrtle I. Blakey
;
Lucent Technologies/Bell Labs.
;
Murray Hill
;
NJ
;
USA
;
Rich J. Kasica
;
Lucent Technologies/Bell Labs.
;
Murray Hill
;
NJ
;
USA
;
James A. Liddle
;
Lucent Technologies/Bell Labs.
;
Scotch Plains
;
NJ
;
USA
;
Thomas E. Saunders
;
Lucent Technologies/Bell Labs.
;
Palm City
;
FL
;
USA
;
Chester S. Knurek
;
Lucent Technologies/Bell Labs.
;
Murray Hill
;
NJ
;
USA.
会议名称:
《European conference on mask technology for integrated circuits and microcomponents》
|
1999年
19.
Pattern placement metrology tool matching within DPI's sites
机译:
DPI站点内的图案放置计量工具匹配
作者:
Norbert Talene
;
DuPont Photomasks SA
;
Rousset Cedex
;
France
;
Klaus-Dieter Roeth
;
Leica Microsystems Wetzlar GmbH
;
Wetzlar
;
Germany.
会议名称:
《European conference on mask technology for integrated circuits and microcomponents》
|
1999年
20.
Pellicle-induced reticle distortion: an experimental investigation
机译:
膜片引起的光罩变形:实验研究
作者:
Wen Chen
;
DuPont Photomasks
;
Inc.
;
Kokomo
;
IN
;
USA
;
James A. Carroll
;
DuPont Photomasks
;
Inc.
;
Danbury
;
CT
;
USA
;
Glenn Storm
;
DuPont Photomasks
;
Inc.
;
Danbury
;
CT
;
USA
;
Ronald G. Ivancich
;
DuPont Photomasks
;
Inc.
;
Santa Clara
;
CA
;
USA
;
John Maloney
;
DuPont Photomasks
;
Inc.
;
Santa Clara
;
CA
;
USA
;
Olivier Maurin
;
DuPont Photomasks SA
;
Rousset Cedex
;
France
;
Eric Souleillet
;
DuPont Photomasks SA
;
Rousset Cedex
;
France.
会议名称:
《European conference on mask technology for integrated circuits and microcomponents》
|
1999年
21.
Results from submicron CD metrology obtained with new I-line tools
机译:
使用新的I-line工具从亚微米CD计量获得的结果
作者:
Hans-Juergen Brueck
;
MueTec GmbH
;
Munich
;
Germany
;
Sebastian Birkenmayer
;
MueTec GmbH
;
Munich
;
Germany
;
Guenther Falk
;
MueTec GmbH
;
Munich
;
Germany
;
Gerd Scheuring
;
MueTec GmbH
;
Munich
;
Germany
;
Lars Walden
;
MueTec GmbH
;
Munich
;
Germany
;
Sigrid Lehnigk
;
Submicron Technologies GmbH
;
Munich
;
Germany.
会议名称:
《European conference on mask technology for integrated circuits and microcomponents》
|
1999年
22.
Use of KLA-Tencor STARlight SL 300 for in-process contamination inspection to control reticle defect densities
机译:
使用KLA-Tencor STARlight SL 300进行过程中的污染检查以控制标线缺陷密度
作者:
Duane Dutton
;
Hewlett-Packard Co.
;
Santa Clara
;
CA
;
USA
;
Wayne P. Shen
;
Hewlett-Packard Co.
;
Santa Clara
;
CA
;
USA
;
Richard Yee
;
Hewlett-Packard Co.
;
Palo Alto
;
CA
;
USA
;
James A. Reynolds
;
Reynolds Consulting
;
Sunnyvale
;
CA
;
USA.
会议名称:
《European conference on mask technology for integrated circuits and microcomponents》
|
1999年
23.
PN and SOI wafer flow process for stencil mask fabrication
机译:
用于模板掩模制造的PN和SOI晶片流工艺
作者:
Author(s): Joerg Butschke Institut fuer Mikroelektronik/Stuttgart Stuttgart Germany
;
Albrecht Ehrmann Siemens AG Muenchen Germany
;
E.Haugeneder Ionen Mikrofabrikations Systeme GmbH Wien Austria
;
Mathias Irmscher Institut fuer Mikroelektronik/Stuttgart Stuttgart Germany
;
Rainer Kaesmaier Siemens AG Munich Germany
;
Karl Kragler Siemens AG Erlangen Germany
;
Florian Letzkus Institut fuer Mikroelektronik/Stuttgart Stuttgart Germany
;
Hans Loeschner Ionen Mikrofabrikations Systeme GmbH Wien Austria
;
J.Mathuni Siemans AG Muenchen Germany
;
Ivo W. Rangelow Univ. Kassel Kassel Germany
;
Carsten Reuter Institut fuer Mikroelektronik/Stuttgart Hannover Germany
;
Feng Shi Univ. Kassel Kassel Germany
;
Reinhard Springer Institut fuer Mikroelektronik/Stuttgart Stuttgart Germany.
会议名称:
《European conference on mask technology for integrated circuits and microcomponents》
|
1999年
24.
Mask technology for EUV lithography
机译:
EUV光刻的掩模技术
作者:
Author(s): M.Bujak Lawrence Livermore National Lab. Livermore CA USA
;
Scott C. Burkhart Lawrence Livermore National Lab. Livermore CA USA
;
Charles J. Cerjan Lawrence Livermore National Lab. Livermore CA USA
;
Patrick A. Kearney Lawrence Livermore National Lab. Livermore CA USA
;
Craig E. Moore Lawrence Livermore National Lab. Livermore CA USA
;
Shon Prisbrey Lawrence Livermore National Lab. Livermore CA USA
;
Donald W. Sweeney Lawrence Livermore National Lab. Livermore CA USA
;
William M. Tong Lawrence Livermore National Lab. Livermore CA USA
;
Stephen P. Vernon Lawrence Livermore National Lab. Livermore CA USA
;
Christopher C. Walton Lawrence Livermore National Lab. Livermore CA USA
;
Abbie L. Warrick Lawrence Livermore National Lab. Livermore CA USA
;
Frank J. Weber Lawrence Livermore National Lab. Livermore CA USA
;
Marco Wedowski Lawrence Livermore National Lab. Livermore CA USA
;
Karl C. Wilhelmsen Lawrence Livermore National Lab. Livermore CA USA
;
J.Bokor Lawrence Berkeley National Lab. CA USA
;
Sungho Jeong Lawrence Berkeley National Lab. Berkeley CA USA
;
Gregory F. Cardinale Sandia National Labs. Livermore CA USA
;
Avijit K. Ray-Chaudhuri Sandia National Labs. Livermore CA USA
;
Alan R. Stivers Intel Corp. San Jose CA USA
;
E.Tenjil Intel Corp. USA
;
Pei-yang Yan Intel Corp. Santa Clara CA USA
;
Scott D. Hector Motorola Oakland CA USA
;
Khanh B. Nguyen Advanced Micro Devices Inc. Sunnyvale CA USA.
会议名称:
《European conference on mask technology for integrated circuits and microcomponents》
|
1999年
25.
Overlay mapping of microlithographic pattern generators by means of grouped structures
机译:
借助分组结构对微光刻图案生成器进行覆盖映射
作者:
Author(s): Michael Arnz Carl Zeiss Oberkochen Germany
;
Joachim Heppner Carl Zeiss Oberkochen Germany
;
Werner Lessle Carl Zeiss Oberkochen Germany.
会议名称:
《European conference on mask technology for integrated circuits and microcomponents》
|
1999年
26.
Mask Technologies for Deep X-ray LIGA
机译:
深度X射线LIGA的掩模技术
作者:
Laurence Singleton
;
Peter Detemple
;
SPIE-The International Society for Optical Engineering
会议名称:
《European conference on mask technology for integrated circuits and microcomponents》
|
2003年
关键词:
LIGA;
deep X-ray lithography;
DXRL;
PMMA;
optical components;
waveguides;
moulding;
27.
Mask CD characterization with EUV reflectometry at the electron storage ring BESSY Ⅱ
机译:
电子储存环BESSYⅡ的EUV反射仪掩模CD表征Ⅱ
作者:
Albrecht Ehrmann
;
Jenspeter Rau
;
Andreas Wolter
;
Frank-Michael Karnm
;
Josef Mathuni Frank Scholze
;
Johannes Tuemmler
;
Gerhard Ulml
;
SPIE-The International Society for Optical Engineering
会议名称:
《European conference on mask technology for integrated circuits and microcomponents》
|
2003年
28.
Electron Beam Mask Repair with induced Reactions
机译:
电子束掩模修复诱导反应
作者:
Hans W.P. Koops
;
Klaus Edinger
;
Johannes Bihr
;
Volker Boegli
;
Jens Greiser
;
SPIE-The International Society for Optical Engineering
会议名称:
《European conference on mask technology for integrated circuits and microcomponents》
|
2003年
29.
New methods for CD measurements on photomasks using dark field optical microscopy
机译:
使用暗场光学显微镜的光掩模CD测量的新方法
作者:
Bernd Bodermannauthor_name/
;
SPIE-The International Society for Optical Engineering
会议名称:
《European conference on mask technology for integrated circuits and microcomponents》
|
2003年
30.
Extending TeraStar Reticle Inspection Capability to the 90nm Node Through Layer Specific Algorithms
机译:
通过层特定算法将Terastar掩模版检查能力扩展到90nm节点
作者:
Maciej Rudzinski
;
Hector Garcia
;
William Volk
;
Lantian Wang
;
SPIE-The International Society for Optical Engineering
会议名称:
《European conference on mask technology for integrated circuits and microcomponents》
|
2003年
关键词:
alternating phase shift masks;
defect inspection;
reticle;
contact;
via;
31.
Optical Emission Endpoint Optimization in the Tetra Etch Chamber for Production of Embedded Phase Shift Photomasks
机译:
用于生产嵌入相移光掩模的Tetra蚀刻室中的光发射端点优化
作者:
Cynthia B. Brooks
;
Scott Anderson
;
Rex Anderson
;
Corey Collard
;
Jason Clevenger
;
Nicole Sandlin
;
Melisa J. Buie
;
SPIE-The International Society for Optical Engineering
会议名称:
《European conference on mask technology for integrated circuits and microcomponents》
|
2003年
关键词:
MoSiON etch;
tetra;
photomask etch;
PSM;
endpoint;
optical emission spectroscopy;
chrome etch;
32.
Method to determine a detection capability of the die-to-database mask inspection system in regard to pinhole and pindot defects
机译:
确定钻孔掩模检查系统关于针孔和界面缺陷的检测能力的方法
作者:
Syarhey M. Avakawauthor_name/
;
SPIE-The International Society for Optical Engineering
会议名称:
《European conference on mask technology for integrated circuits and microcomponents》
|
2003年
关键词:
inspection;
reticles;
detection probability;
33.
Performance of the Aerial Image Measurement System for 157 nm Lithography
机译:
用于157 nm光刻的空中图像测量系统的性能
作者:
Peter Kuschnerus
;
Thomas Engel
;
Wolfgang Harnisch
;
Claudia Hertfelder
;
Axel Zibold
;
Jan-Peter Urbach
;
Christof M. Schilz
;
Klaus Eisner
;
SPIE-The International Society for Optical Engineering
会议名称:
《European conference on mask technology for integrated circuits and microcomponents》
|
2003年
关键词:
AIMS;
157 nm lithography;
photo masks;
process window;
34.
Compensation of Long-Range Process Effects on Photomasks by Design Data Correction
机译:
通过设计数据校正来补偿对光掩模的远程过程效应
作者:
Martin Bloecker
;
Gerd Ballhorn
;
Jens Schneider
;
Nikola Belie
;
Hans Eisenmann
;
Danny Keogan
;
SPIE-The International Society for Optical Engineering
会议名称:
《European conference on mask technology for integrated circuits and microcomponents》
|
2003年
关键词:
mask process correction;
dry etch loading;
pattern density;
CATS;
35.
Early Mask Making During the 1960's in Dresden
机译:
早期面具制作在1960年代的德累斯顿
作者:
Hans W. Beckerauthor_name/
;
SPIE-The International Society for Optical Engineering
会议名称:
《European conference on mask technology for integrated circuits and microcomponents》
|
2003年
36.
Simulation study of pattern printability for reflective mask in EUV lithography
机译:
EUV光刻反光面膜模式可印刷性模拟研究
作者:
Minoru Sugawara
;
Akira Chiba
;
Iwao Nishiyama
;
SPIE-The International Society for Optical Engineering
会议名称:
《European conference on mask technology for integrated circuits and microcomponents》
|
2003年
关键词:
optical proximity correction;
attenuated phase shift mask;
alternating phase shift mask;
37.
Integration of OPC and Mask Data Preparation
机译:
OPC和掩码数据准备的集成
作者:
Steffen Schulze
;
Pat LaCour
;
Norma Rodriguez
;
SPIE-The International Society for Optical Engineering
会议名称:
《European conference on mask technology for integrated circuits and microcomponents》
|
2003年
38.
Fully automated CD-Metrology and Mask Inspection in a Mask Production Environment using the MueTec
DUV Tool
机译:
使用MUETEC
DUV工具在掩模生产环境中完全自动化的CD-Metrology和面罩检查
作者:
Gerd Scheuring
;
Alexander Petrashenko
;
Stefan Dobereiner
;
Frank Hillmann
;
Hans-Jurgen Bruck
;
Andrew C. Hourd
;
Anthony Grimshaw
;
Gordon Hughes
;
Shiuh-Bin Chen
;
Parkson Chen
会议名称:
《European conference on mask technology for integrated circuits and microcomponents》
|
2003年
39.
Implementation of 248nm based CD Metrology for Advanced Reticle Production
机译:
基于248nm的CD Metrology实现高级掩盖生产
作者:
Andrew C. Hourd
;
Anthony Grimshaw
;
Gerd Scheming
;
Christian Gittinger
;
Stefan Doebereiner
;
Frank Hillmann
;
Hans-Juergen Brueck
;
Hans Hartmann
;
Volodymyr Ordynskyy
;
Kai Peter
;
Shiuh-Bin Chen
;
Parkson Chen
;
Rik Jonckheere
;
Vicky Philipsen
;
Thomas Schaetz
;
SPIE-The International Society for Optical Engineering
会议名称:
《European conference on mask technology for integrated circuits and microcomponents》
|
2003年
关键词:
CD metrology;
DUV imaging;
90nm node;
193nm;
40.
Accuracy vs. Complexity: OPC Solutions andTradeoffs
机译:
准确性与复杂性:OPC解决方案Andtradeoffs
作者:
Artur Balasinski
;
Walter Iandolo
;
Bartosz Banachowicz
;
Wolf Staud
;
Melody Ma
;
Jason Sweis
;
SPIE-The International Society for Optical Engineering
会议名称:
《European conference on mask technology for integrated circuits and microcomponents》
|
2003年
41.
Fully automated CD - Metrology and Mask Inspection in a Mask Production Environment using the MueTec
DUV Tool
机译:
使用MUETEC
DUV工具在掩模生产环境中全自动CD - 计量和面罩检查
作者:
Gerd Scheming
;
Alexander Petrashenko
;
Stefan Doebereiner
;
Frank Hillmann
;
Hans-Juergen Brueck
;
Andrew C. Hourd
;
Anthony Grimshaw
;
Gordon Hughes
;
Shiuh-Bin Chen
;
Parkson Chen
;
Thomas Schaetz
;
Thomas Struck
;
Paul van Adrichem
;
Herman Boerland
;
Sigrid Lehni
;
SPIE-The International Society for Optical Engineering
会议名称:
《European conference on mask technology for integrated circuits and microcomponents》
|
2003年
42.
Investigation of reticle defect formation at DUV lithography
机译:
Duv光刻掩模缺陷形成的调查
作者:
Kaustuve Bhattacharyya
;
William Volk
;
Brian Grenon
;
Darius Brown
;
Javier Ayala
;
SPIE-The International Society for Optical Engineering
会议名称:
《European conference on mask technology for integrated circuits and microcomponents》
|
2003年
关键词:
DUV;
PSM;
mask contamination;
mask;
crystal-growth;
cyanuric acid;
pellicle;
193nm;
scanner;
STARlight;
43.
Yield Mask (The FIrst Professional Yield Management Tool Specifically Developed for a Mask House)
机译:
产量掩模(专门为面具房屋开发的第一个专业产量管理工具)
作者:
Rudolf Laubmeier
;
Annemarie MacKenzie
;
Gerd Stockmann
;
Sana Shaik
;
Steve White
会议名称:
《European conference on mask technology for integrated circuits and microcomponents》
|
2003年
44.
Feature Proximity Errors on Mask: Assessment Results of Commercially Obtained Reticles'
机译:
掩码上的特征差异错误:商业上获得的术语评估结果'
作者:
Rik Jonckheere
;
Goedele Potoms
;
Vicky Philipsen
;
SPIE-The International Society for Optical Engineering
会议名称:
《European conference on mask technology for integrated circuits and microcomponents》
|
2003年
关键词:
4X reticles;
CD metrology;
2D quality;
proximity effects;
193nm lithography;
45.
Yield Mask: First Professional Yield Management Tool Specifically Developed for a Mask House
机译:
产量面具:专门为面具房屋开发的第一个专业产量管理工具
作者:
Rudolf Laubmeier
;
Annemarie MacKenzie
;
Gerd Stockmann
;
Sana Shaik
;
Steve White
;
SPIE-The International Society for Optical Engineering
会议名称:
《European conference on mask technology for integrated circuits and microcomponents》
|
2003年
46.
Integration of OPC and Mask Preparation
机译:
整合OPC和面膜准备
作者:
Steffen Schulze
;
Pat LaCour
;
Norma Rodriguez
会议名称:
《European conference on mask technology for integrated circuits and microcomponents》
|
2003年
47.
Contact hole litho correlation with shape analysis'
机译:
与形状分析的接触孔Litho相关性'
作者:
Maurice Janssen
;
Khalid Elbattay
;
Cars ten Kohler
;
SPIE-The International Society for Optical Engineering
会议名称:
《European conference on mask technology for integrated circuits and microcomponents》
|
2003年
关键词:
contact hole shape simulation;
attenuated PSM;
reticle SEM measurement;
48.
A System to Improve the Understanding of Collected Logistic Data, to Optimise Cycle-Time and Delivery Performance
机译:
一种提高收集物流数据的理解的系统,优化循环时间和交付性能
作者:
Wim-Jan van Rooijen
;
Ben Rodriguez
;
SPIE-The International Society for Optical Engineering
会议名称:
《European conference on mask technology for integrated circuits and microcomponents》
|
2003年
关键词:
mask house;
bottleneck management;
cycle time;
flow-factor;
MES;
49.
A Printability Study for Phase-Shift Masks at 193nm Lithography
机译:
193nm光刻的相移掩模的可印刷性研究
作者:
Vicky Philipsen
;
Rik Jonckheere
;
SPIE-The International Society for Optical Engineering
会议名称:
《European conference on mask technology for integrated circuits and microcomponents》
|
2003年
关键词:
defect printability;
mask 2D quality;
193nm lithography;
attenuated phase-shift mask;
50.
Through-Pellicle Capable, DUV-based CD Metrology on Reticles for Wafer Fab and RD Environment
机译:
通过薄膜能力,基于DUV的CD计量晶片FAB和研发环境的晶粒
作者:
Rik Jonckheere
;
Vicky Philipsen
;
Gerd Scheuring
;
Frank Hillmann
;
Hans-Juergen Brueck
;
Volodymyr Ordynskyy
;
Kai Peter
;
Andrew Hourd
;
Thomas Schaetz
;
Shiuh-Bin Chen
;
Parkson Chen
;
Karl Sommer
;
SPIE-The International Society for Optical Engineering
会议名称:
《European conference on mask technology for integrated circuits and microcomponents》
|
2003年
关键词:
4X reticles;
optical CD metrology;
2D quality;
linearity;
193nm lithography;
51.
Development and characterization of new CD mask standards: a status report'
机译:
新CD掩模标准的开发和特征:状态报告'
作者:
Thomas Schaetz
;
Bertram Hauffe
;
Stefan Doebereiner
;
Hans-Juergen Brueck
;
Bernd Brendel
;
Lutz Bettin
;
Klaus-Dieter Roeth
;
Walter Steinberg
;
Peter Speckbacher
;
Wolfgang Sedlmeier
;
Thomas Engel
;
Wolfgang Haessler-Grohne
;
Werner Mirande
;
Harald Bosse
;
SPIE-The International Society for Optical Engineering
会议名称:
《European conference on mask technology for integrated circuits and microcomponents》
|
2003年
52.
Use of Nanomachining for 100 Nanometer Mask Repair
机译:
使用纳米机械加工100纳米掩模修复
作者:
Bob LoBianco
;
Roy White
;
Ted Nawrocki
;
SPIE-The International Society for Optical Engineering
会议名称:
《European conference on mask technology for integrated circuits and microcomponents》
|
2003年
53.
Application of Chromeless Phase Lithography (CPL) masks in ArF lithography
机译:
在ARF光刻中的无晶态光刻(CPL)掩模的应用
作者:
Bryan S. Kasprowicz
;
Christopher J. Progler
;
Wei Wu
;
Will Conley
;
Lloyd C. Litt
;
Doug Van Den Broeke
;
Kurt E. Wampler
;
Robert Socha
;
SPIE-The International Society for Optical Engineering
会议名称:
《European conference on mask technology for integrated circuits and microcomponents》
|
2003年
关键词:
PSM manufacturing;
chromeless phase lithography;
CPL;
PSM;
high transmission attenuated PSM;
chromeless mask;
54.
A Mask Industry Assessment: 2002
机译:
面具行业评估:2002年
作者:
Kurt R. Kimmelauthor_name/
;
SPIE-The International Society for Optical Engineering
会议名称:
《European conference on mask technology for integrated circuits and microcomponents》
|
2003年
关键词:
mask industry;
photomask;
industry;
mask yield;
photomask yield;
mask quality;
photomask quality;
55.
Ultra-Thin Membrane EPL Masks
机译:
超薄膜EPL面罩
作者:
J. Greschner
;
T. Bayer
;
S. Kalt
;
H. Weiss
;
P. L. Reu
;
R. L. Engelstad
;
O. R. Wood II
;
C. Thiel
;
M. Gordon
;
R. Dhaliwal
会议名称:
《European conference on mask technology for integrated circuits and microcomponents》
|
2003年
56.
A Mask Industry Assessment: 2002
机译:
面具行业评估:2002年
作者:
Kurt R. Kimmel
会议名称:
《European conference on mask technology for integrated circuits and microcomponents》
|
2003年
关键词:
mask industry;
photomask;
industry;
mask yield;
photomask yield;
mask quality;
photomask quality;
57.
Selete Activity of 157nm Lithography and Masks
机译:
删除157 nm光刻和面具的活动
作者:
Nobuyuki Yoshioka
;
Toshiro Itani
;
Wataru Wakamiya
;
SPIE-The International Society for Optical Engineering
会议名称:
《European conference on mask technology for integrated circuits and microcomponents》
|
2003年
58.
The compact excimer laser - light source for optical (mask) inspection systems
机译:
用于光学(掩模)检查系统的紧凑型准分子激光源
作者:
Tobias Pflanz
;
Heinz Huber
;
SPIE-The International Society for Optical Engineering
会议名称:
《European conference on mask technology for integrated circuits and microcomponents》
|
2003年
59.
Through the looking glass: What is on the horizon for the Mask Maker?
机译:
通过看玻璃:面具制造商的地平线是什么?
作者:
R. S. Mackay
;
B. G. Eynon
;
D. P. Mathur
;
SPIE-The International Society for Optical Engineering
会议名称:
《European conference on mask technology for integrated circuits and microcomponents》
|
2003年
60.
Inspecting Alternating Phase Shift Masks by Matching Stepper Conditions
机译:
通过匹配步进条件检查交替相移掩模
作者:
Shirley Hemar
;
Anja Rosenbusch
;
SPIE-The International Society for Optical Engineering
会议名称:
《European conference on mask technology for integrated circuits and microcomponents》
|
2003年
关键词:
aerial-image based mask inspection;
AAPSM;
OPC;
193nm technology;
at-wavelength inspection;
61.
Inspection of alternating phase shift masks through the use of phase contrast techniques
机译:
通过使用相位对比度技术检查交替相移掩模
作者:
Larry Zurbrick
;
Maciej Rudzinski
;
Stan Stokowski
;
Long He
;
Kurt Kimmel
;
Nishrin Kashwala
;
SPIE-The International Society for Optical Engineering
会议名称:
《European conference on mask technology for integrated circuits and microcomponents》
|
2003年
62.
Integration of Mask RD and Mask Manufacturing to support the European Semiconductor Industry
机译:
面膜研发和面罩制造的整合支持欧洲半导体行业
作者:
Markus Dilgerauthor_name/
;
SPIE-The International Society for Optical Engineering
会议名称:
《European conference on mask technology for integrated circuits and microcomponents》
|
2003年
意见反馈
回到顶部
回到首页