首页> 外文期刊>Journal of research and practice in information technology >FBDVerifier: Interactive and Visual Analysis of Counter- example in Formal Verification of Function Block Diagram
【24h】

FBDVerifier: Interactive and Visual Analysis of Counter- example in Formal Verification of Function Block Diagram

机译:FBDVerifier:对功能块图进行形式验证的反例的交互式可视化分析

获取原文
获取原文并翻译 | 示例
       

摘要

Model checking is often applied to verify safety-critical software implemented in programmable logic controller (PLC) language such as a function block diagram (FBD). Counter-examples generated by a model checker are often too lengthy and complex to analyze. This paper describes the FBDVerifier which allows domain experts to perform automated model checking and intuitive visual analysis of counter-examples without having to know technical details on temporal logic or the model checker. Once the FBD program is automatically translated into a semantically equivalent Verilog model and model checking is performed using SMV, users can enter various expressions to investigate why verification of certain properties failed. When applied to FBD programs implementing a shutdown system for a nuclear power plant, domain engineers were able to perform effective FBD verification and detect logical errors in the FBD design.
机译:模型检查通常用于验证以可编程逻辑控制器(PLC)语言(例如功能框图(FBD))实施的安全关键软件。由模型检查器生成的反例通常过于冗长和复杂,无法进行分析。本文介绍了FBDVerifier,它使领域专家可以执行自动模型检查和对反例的直观可视分析,而不必了解时间逻辑或模型检查器的技术细节。将FBD程序自动转换为语义上等效的Verilog模型并使用SMV执行模型检查后,用户可以输入各种表达式来调查某些属性验证失败的原因。在将FBD程序应用于为核电站实施停机系统的FBD程序时,领域工程师能够执行有效的FBD验证并检测FBD设计中的逻辑错误。

著录项

相似文献

  • 外文文献
  • 中文文献
  • 专利
获取原文

客服邮箱:kefu@zhangqiaokeyan.com

京公网安备:11010802029741号 ICP备案号:京ICP备15016152号-6 六维联合信息科技 (北京) 有限公司©版权所有
  • 客服微信

  • 服务号