...
首页> 外文期刊>Integrated System Design >Orbit Semiconductor Keeps Conversion Costs Low and Lead Times Short Using Avant! Tools
【24h】

Orbit Semiconductor Keeps Conversion Costs Low and Lead Times Short Using Avant! Tools

机译:Orbit Semiconductor使用Avant可以保持较低的转换成本并缩短交货时间!工具类

获取原文
获取原文并翻译 | 示例
   

获取外文期刊封面封底 >>

       

摘要

Deep and very deep submicron (VDSM) designs may be the rage, but an important part of the EDA marketplace is the conversion of mature designs from one process to another.
机译:深和超深亚微米(VDSM)设计可能风靡一时,但EDA市场的重要组成部分是将成熟的设计从一种工艺转换为另一种工艺。

著录项

相似文献

  • 外文文献
  • 中文文献
  • 专利
获取原文

客服邮箱:kefu@zhangqiaokeyan.com

京公网安备:11010802029741号 ICP备案号:京ICP备15016152号-6 六维联合信息科技 (北京) 有限公司©版权所有
  • 客服微信

  • 服务号