首页> 外文期刊>IBM Journal of Research and Development >Power-constrained high-frequency circuits for the IBM POWER6 microprocessor
【24h】

Power-constrained high-frequency circuits for the IBM POWER6 microprocessor

机译:用于IBM POWER6微处理器的受功率限制的高频电路

获取原文
获取原文并翻译 | 示例
获取外文期刊封面目录资料

摘要

The IBM POWER6™ microprocessor is a high-frequency (> 5-GHz) microprocessor fabricated in the IBM 65-nm silicon-on-insulator (SOI) complementary metal-oxide semiconductor (CMOS) process technology. This paper describes the circuit, physical design, clocking, timing, power, and hardware characterization challenges faced in the pursuit of this industry-leading frequency. Traditional high-power, high-frequency techniques were abandoned in favor of more-power-efficient circuit design methodologies. The hardware frequency and power characterization are reviewed.
机译:IBM POWER6™微处理器是采用IBM 65纳米绝缘体上硅(SOI)互补金属氧化物半导体(CMOS)工艺技术制造的高频(> 5 GHz)微处理器。本文描述了在追求这一业界领先的频率时面临的电路,物理设计,时钟,时序,电源和硬件特性挑战。放弃了传统的高功率,高频技术,取而代之的是功率效率更高的电路设计方法。回顾了硬件频率和功率特性。

著录项

相似文献

  • 外文文献
  • 中文文献
  • 专利
获取原文

客服邮箱:kefu@zhangqiaokeyan.com

京公网安备:11010802029741号 ICP备案号:京ICP备15016152号-6 六维联合信息科技 (北京) 有限公司©版权所有
  • 客服微信

  • 服务号