首页> 外文期刊>EURASIP journal on embedded systems >Evaluation and Design Space Exploration of a Time-Division Multiplexed NoC on FPGA for Image Analysis Applications
【24h】

Evaluation and Design Space Exploration of a Time-Division Multiplexed NoC on FPGA for Image Analysis Applications

机译:FPGA在图像分析应用中时分复用NoC的评估和设计空间探索

获取原文
获取原文并翻译 | 示例
           

摘要

The aim of this paper is to present an adaptable Fat Tree NoC architecture for Field Programmable Gate Array (FPGA) designed for image analysis applications. Traditional Network on Chip (NoC) is not optimal for dataflow applications with large amount of data. On the opposite, point-to-point communications are designed from the algorithm requirements but they are expensives in terms of resource and wire. We propose a dedicated communication architecture for image analysis algorithms. This communication mechanism is a generic NoC infrastructure dedicated to dataflow image processing applications, mixing circuit-switching and packet-switching communications. The complete architecture integrates two dedicated communication architectures and reusable IP blocks. Communications are based on the NoC concept to support the high bandwidth required for a large number and type of data. For data communication inside the architecture, an efficient time-division multiplexed (TDM) architecture is proposed. This NoC uses a Fat Tree (FT) topology with Virtual Channels (VCs) and flit packet-switching with fixed routes. Two versions of the NoC are presented in this paper. The results of their implementations and their Design Space Exploration (DSE) on Altera Stratix II are analyzed and compared with a point-to-point communication and illustrated with a multispectral image application. Results show that a point-to-point communication scheme is not efficient for large amount of multispectral image data communications. An NoC architecture uses only 10% of the memory blocks required for a point-to-point architecture but seven times more logic elements. This resource allocation is more adapted to image analysis algorithms as memory elements are a critical point in embedded architectures. An FT NoC-based communication scheme for data transfers provides a more appropriate solution for resource allocation.
机译:本文的目的是为现场可编程门阵列(FPGA)提供一种适用于图像分析应用的自适应胖树NoC架构。传统的片上网络(NoC)不适用于具有大量数据的数据流应用程序。相反,点对点通信是根据算法要求来设计的,但是它们在资源和线路方面都很昂贵。我们提出了一种用于图像分析算法的专用通信架构。此通信机制是专用于数据流图像处理应用程序,混合电路交换和数据包交换通信的通用NoC基础结构。完整的体系结构集成了两个专用的通信体系结构和可重用的IP块。通信基于NoC概念,以支持大量和类型的数据所需的高带宽。对于架构内部的数据通信,提出了一种高效的时分复用(TDM)架构。此NoC使用带有虚拟通道(VC)的胖树(FT)拓扑和具有固定路由的flit数据包交换。本文介绍了两种版本的NoC。对他们在Altera Stratix II上的实现及其设计空间探索(DSE)的结果进行了分析,并与点对点通信进行了比较,并通过多光谱图像应用进行了说明。结果表明,点对点通信方案对于大量的多光谱图像数据通信而言效率不高。 NoC架构仅使用点对点架构所需的存储块的10%,但逻辑元素要多7倍。由于存储元素是嵌入式体系结构中的关键点,因此这种资源分配更适合于图像分析算法。基于FT NoC的数据传输通信方案为资源分配提供了更合适的解决方案。

著录项

  • 来源
    《EURASIP journal on embedded systems》 |2009年第2009期|P.19.1-19.15|共15页
  • 作者单位

    Universite de Lyon, 42023 Saint-Etienne, France CNRS, UMR 5516, Laboratoire Hubert Curien, 42000 Saint-Etienne, France Universiti de Saint-Etienne, Jean-Monnet, 42000 Saint-Etienne, France;

    rnUniversite de Lyon, 42023 Saint-Etienne, France CNRS, UMR 5516, Laboratoire Hubert Curien, 42000 Saint-Etienne, France Universiti de Saint-Etienne, Jean-Monnet, 42000 Saint-Etienne, France;

    rnRCIM, Department of Electrical & Computer Engineering, University of Windsor, Windsor, ON, Canada N9B 3P4;

    rnGIPSA-Lab, Grenoble, France;

    rnUniversite de Lyon, 42023 Saint-Etienne, France CNRS, UMR 5516, Laboratoire Hubert Curien, 42000 Saint-Etienne, France Universiti de Saint-Etienne, Jean-Monnet, 42000 Saint-Etienne, France;

  • 收录信息
  • 原文格式 PDF
  • 正文语种 eng
  • 中图分类
  • 关键词

相似文献

  • 外文文献
  • 中文文献
  • 专利
获取原文

客服邮箱:kefu@zhangqiaokeyan.com

京公网安备:11010802029741号 ICP备案号:京ICP备15016152号-6 六维联合信息科技 (北京) 有限公司©版权所有
  • 客服微信

  • 服务号