首页> 外文期刊>International journal of reconfigurable computing >Translating Timing into an Architecture: The Synergy of COTSon and HLS (Domain Expertise—Designing a Computer Architecture via HLS)
【24h】

Translating Timing into an Architecture: The Synergy of COTSon and HLS (Domain Expertise—Designing a Computer Architecture via HLS)

机译:将时序转换为架构:Cotson和HLS的协同作用(域专业知识 - 通过HLS设计计算机架构)

获取原文
           

摘要

Translating a system requirement into a low-level representation (e.g., register transfer level or RTL) is the typical goal of the design of FPGA-based systems. However, the Design Space Exploration (DSE) needed to identify the final architecture may be time consuming, even when using high-level synthesis (HLS) tools. In this article, we illustrate our hybrid methodology, which uses a frontend for HLS so that the DSE is performed more rapidly by using a higher level abstraction, but without losing accuracy, thanks to the HP-Labs COTSon simulation infrastructure in combination with our DSE tools (MYDSE tools). In particular, this proposed methodology proved useful to achieve an appropriate design of a whole system in a shorter time than trying to design everything directly in HLS. Our motivating problem was to deploy a novel execution model called data-flow threads (DF-Threads) running on yet-to-be-designed hardware. For that goal, directly using the HLS was too premature in the design cycle. Therefore, a key point of our methodology consists in defining the first prototype in our simulation framework and gradually migrating the design into the Xilinx HLS after validating the key performance metrics of our novel system in the simulator. To explain this workflow, we first use a simple driving example consisting in the modelling of a two-way associative cache. Then, we explain how we generalized this methodology and describe the types of results that we were able to analyze in the AXIOM project, which helped us reduce the development time from months/weeks to days/hours.
机译:将系统要求转换为低级表示(例如,寄存器传输级别或RTL)是基于FPGA的系统设计的典型目标。然而,即使使用高级合成(HLS)工具,识别最终架构所需的设计空间探索(DSE)也可能是耗时的。在本文中,我们说明了我们的混合方法,它使用HLS的前端,使得DSE通过使用更高的级别抽象来更快地进行,但由于HP-Labs Cotson仿真基础设施与我们的DSE结合使用,因此不会降低准确性。工具(MyDse工具)。特别是,这一提出的方法证明了在较短的时间内实现整个系统的适当设计,而不是试图直接在HLS中设计一切。我们的激励问题是部署名为Data-Flow线程(DF-Threads)的小说执行模型,运行在依此设计的硬件上。对于该目标,直接使用HLS在设计周期中过于早。因此,我们的方法的一个关键点包括在验证模拟器中验证我们的新系统的关键性能度量后逐渐将设计迁移到Xilinx HLS中。要解释此工作流程,我们首先使用一个简单的驾驶示例,该示例包括在模型中的双向关联缓存。然后,我们解释了我们如何广泛化这种方法,并描述我们能够在Axiom项目中分析的结果类型,这有助于我们将开发时间从几个月/周或几个小时/小时降低。

著录项

相似文献

  • 外文文献
  • 中文文献
  • 专利
获取原文

客服邮箱:kefu@zhangqiaokeyan.com

京公网安备:11010802029741号 ICP备案号:京ICP备15016152号-6 六维联合信息科技 (北京) 有限公司©版权所有
  • 客服微信

  • 服务号