...
首页> 外文期刊>Journal of Low Power Electronics and Applications >Exploration of Sub-VT and Near-VT 2T Gain-Cell Memories for Ultra-Low Power Applications under Technology Scaling
【24h】

Exploration of Sub-VT and Near-VT 2T Gain-Cell Memories for Ultra-Low Power Applications under Technology Scaling

机译:技术规模下超低功耗应用的Sub-V T 和Near-V T 2T增益单元存储器的探索

获取原文

摘要

Ultra-low power applications often require several kb of embedded memory and are typically operated at the lowest possible operating voltage ( V DD ) to minimize both dynamic and static power consumption. Embedded memories can easily dominate the overall silicon area of these systems, and their leakage currents often dominate the total power consumption. Gain-cell based embedded DRAM arrays provide a high-density, low-leakage alternative to SRAM for such systems; however, they are typically designed for operation at nominal or only slightly scaled supply voltages. This paper presents a gain-cell array which, for the first time, targets aggressively scaled supply voltages, down into the subthreshold (sub- V T ) domain. Minimum V DD design of gain-cell arrays is evaluated in light of technology scaling, considering both a mature 0.18 µm CMOS node, as well as a scaled 40 nm node. We first analyze the trade-offs that characterize the bitcell design in both nodes, arriving at a best-practice design methodology for both mature and scaled technologies. Following this analysis, we propose full gain-cell arrays for each of the nodes, operated at a minimum V DD . We find that an 0.18 µm gain-cell array can be robustly operated at a sub- V T supply voltage of 400 mV, providing read/write availability over 99% of the time, despite refresh cycles. This is demonstrated on a 2 kb array, operated at 1 MHz, exhibiting full functionality under parametric variations. As opposed to sub- V T operation at the mature node, we find that the scaled 40 nm node requires a near-threshold 600 mV supply to achieve at least 97% read/write availability due to higher leakage currents that limit the bitcell’s retention time. Monte Carlo simulations show that a 600 mV 2 kb 40 nm gain-cell array is fully functional at frequencies higher than 50 MHz.
机译:超低功耗应用通常需要几kb的嵌入式存储器,并且通常以尽可能低的工作电压(V DD)进行操作,以最大程度地减少动态和静态功耗。嵌入式存储器可以轻松地控制这些系统的整个硅片面积,而它们的泄漏电流通常可以控制总功耗。基于增益单元的嵌入式DRAM阵列为此类系统提供了SRAM的高密度,低泄漏选择;但是,它们通常设计为在标称或仅略微缩放的电源电压下运行。本文提出了一种增益单元阵列,该阵列首次以大幅度缩放的电源电压为目标,一直到亚阈值(sub-V T)域。增益单元阵列的最小V DD设计是根据技术规模评估的,同时考虑了成熟的0.18 µm CMOS节点和缩放后的40 nm节点。我们首先分析了两个节点中表征比特单元设计的折衷方案,从而得出了针对成熟技术和规模化技术的最佳实践设计方法。经过这一分析,我们为每个节点提出了以最小V DD操作的完整增益单元阵列。我们发现,一个0.18 µm的增益单元阵列可以在400 mV的亚V T电源电压下稳定运行,即使刷新周期也可以在99%的时间内提供读/写可用性。这在工作于1 MHz的2 kb阵列上得到了证明,在参数变化下展现了全部功能。与成熟节点的Sub V T操作相反,我们发现缩放的40 nm节点需要接近600 mV的阈值电源才能实现至少97%的读/写可用性,这是由于更高的泄漏电流限制了位单元的保留时间。蒙特卡洛仿真显示,一个600 mV 2 kb 40 nm增益单元阵列在高于50 MHz的频率下可以完全正常工作。

著录项

相似文献

  • 外文文献
  • 中文文献
  • 专利
获取原文

客服邮箱:kefu@zhangqiaokeyan.com

京公网安备:11010802029741号 ICP备案号:京ICP备15016152号-6 六维联合信息科技 (北京) 有限公司©版权所有
  • 客服微信

  • 服务号