...
首页> 外文期刊>IEICE transactions on information and systems >Architecture and Implementation of a Reduced EPIC Processor
【24h】

Architecture and Implementation of a Reduced EPIC Processor

机译:精简EPIC处理器的体系结构和实现

获取原文
           

摘要

This paper proposes a Reduced Explicitly Parallel Instruction Computing Processor (REPICP) which is an independently designed, 64-bit, general-purpose microprocessor. The REPICP based on EPIC architecture overcomes the disadvantages of hardware-based superscalar and software-based Very Long Instruction Word (VLIW) and utilizes the cooperation of compiler and hardware to enhance Instruction-Level Parallelism (ILP). In REPICP, we propose the Optimized Lock-Step execution Model (OLSM) and instruction control pipeline method. We also propose reduced innovative methods to optimize the design. The REPICP is fabricated in Artisan 0.13μm Nominal 1P8M process with 57M transistors. The die size of the REPICP is 100mm~(2) (10×10), and consumes only 12W power when running at 300MHz.
机译:本文提出了一种简化显式并行指令计算处理器(REPICP),它是一个独立设计的64位通用微处理器。基于EPIC架构的REPICP克服了基于硬件的超标量和基于软件的超长指令字(VLIW)的缺点,并利用编译​​器和硬件的协作来增强指令级并行性(ILP)。在REPICP中,我们提出了优化锁步执行模型(OLSM)和指令控制流水线方法。我们还提出了减少创新的方法来优化设计。 REPICP采用Artisan0.13μm标称1P8M工艺制造,带有57M晶体管。 REPICP的芯片尺寸为100mm〜(2)(10×10),在300MHz下运行时仅消耗12W功率。

著录项

相似文献

  • 外文文献
  • 中文文献
  • 专利
获取原文

客服邮箱:kefu@zhangqiaokeyan.com

京公网安备:11010802029741号 ICP备案号:京ICP备15016152号-6 六维联合信息科技 (北京) 有限公司©版权所有
  • 客服微信

  • 服务号