首页> 中文期刊>科技信息 >基于CPLD的异步串行接口芯片设计

基于CPLD的异步串行接口芯片设计

     

摘要

针对传统的异步串行接口设计方法,本文提出了通过VHDL语言在CPLD(复杂的可编程逻辑器件)上实现异步串行接口的设计方法.并通过MAXplus软件对软件程序仿真验证和硬件实测,结果表明,此电路工作正确可靠,灵活性强,能满足设计要求.

著录项

相似文献

  • 中文文献
  • 外文文献
  • 专利
获取原文

客服邮箱:kefu@zhangqiaokeyan.com

京公网安备:11010802029741号 ICP备案号:京ICP备15016152号-6 六维联合信息科技 (北京) 有限公司©版权所有
  • 客服微信

  • 服务号