首页> 中文期刊> 《深圳信息职业技术学院学报》 >用CPLD实现同步串口与异步串口的转换

用CPLD实现同步串口与异步串口的转换

         

摘要

常用串口通信标准种类繁多,系统设计者必须解决不同串行接口标准之间的转换问题.业界常用串口分为同步串口和异步串口.该文以常用DSP芯片的McBSP同步串口与UART异步串口之间的转换为例,探讨以CPLD来实现串行接口标准之间相互转换的低成本方案,并详细论述了该方案的软、硬件实现.该方案硬件连接简单,成本低廉,软件编程方便,可用于DSP与PC机间的串行通信等工程场合.

著录项

相似文献

  • 中文文献
  • 外文文献
  • 专利
获取原文

客服邮箱:kefu@zhangqiaokeyan.com

京公网安备:11010802029741号 ICP备案号:京ICP备15016152号-6 六维联合信息科技 (北京) 有限公司©版权所有
  • 客服微信

  • 服务号