首页> 中文期刊> 《计算机辅助设计与图形学学报》 >模拟和混合信号系统的VHDL-AMS建模方法

模拟和混合信号系统的VHDL-AMS建模方法

         

摘要

VHDL-AMS语言为模拟和混合信号系统设计提供了统一的建模和模拟方法.介绍了VHDL-AMS语言支持的建模特征和基本语法元素,分析了基于该语言的模拟及混合信号系统建模方法方面的问题,包括模拟系统的守恒系统建模方式和信号流建模方式、系统方程与变量的对应关系以及混合信号机制.通过实例分析,验证了VHDL-AMS语言的功能和文中的建模方法.

著录项

相似文献

  • 中文文献
  • 外文文献
  • 专利
获取原文

客服邮箱:kefu@zhangqiaokeyan.com

京公网安备:11010802029741号 ICP备案号:京ICP备15016152号-6 六维联合信息科技 (北京) 有限公司©版权所有
  • 客服微信

  • 服务号