首页> 中文期刊>北京航空航天大学学报 >基于半监督集成学习的多核设计空间探索

基于半监督集成学习的多核设计空间探索

     

摘要

With the increasing complexity of microprocessor architecture, the design space is growing exponentially and the software simulation technology is extremely time-consuming.Design space exploration becomes one major challenge when processors are designed.The paper proposed an efficient design space ex-ploration method combining semi-supervised learning and ensemble learning techniques.Specifically, it in-cludes two phases:uniform random sampling method is firstly employed to select a small set of representative design points,and then simulation is conducted with the points to constitute the training set;semi-supervised learning based AdaBoost(SSLBoost)model is further proposed to predict the responses of the configurations that have not been simulated.Then the optimal processor design configuration is found.The experimental re-sults demonstrate that compared with the prediction models based on the existing artificial neural network and support vector machine(SVM),the proposed SSLBoost model can build a comparable accurate model using fewer simulations.When the number of simulation examples is fixed, the prediction accuracy of SSLBoost model is higher.%随着处理器的系统结构日趋复杂,设计空间呈指数式增长,并且软件模拟技术极为费时,成为处理器设计的重要挑战.提出了一种结合集成学习和半监督学习技术的高效设计空间探索方法.具体而言,该方法包括2个阶段:使用均匀随机采样方法从处理器设计空间中选择一小组具有代表性的设计点,通过模拟获得性能响应,从而组成训练数据集;提出基于半监督学习的AdaBoost(SSLBoost)模型预测未模拟的样本配置的响应,从而搜索最优的处理器设计配置.实验结果表明,与现有的基于人工神经网络和支持向量机(SVM)的有监督预测模型相比,SSLBoost模型能够使用更少的模拟样本构建出不差于现有方法性能的预测模型;而当模拟样本数量相同时,SSLBoost模型的预测精度更高.

著录项

相似文献

  • 中文文献
  • 外文文献
  • 专利
获取原文

客服邮箱:kefu@zhangqiaokeyan.com

京公网安备:11010802029741号 ICP备案号:京ICP备15016152号-6 六维联合信息科技 (北京) 有限公司©版权所有
  • 客服微信

  • 服务号