首页> 中文期刊> 《现代信息科技》 >FPGA软件形式化验证技术研究

FPGA软件形式化验证技术研究

             

摘要

验证是FPGA开发流程和IC芯片设计流程中不可或缺的环节,文章首先分析了当前数字仿真验证用例设计无法跨越的不完备性和不充分性,并详细探讨了为什么功能仿真会错过一些角落案例场景。在此基础上,介绍了形式化验证中FPGA的主要应用场景以及硬件逻辑功能验证语言SVA,并以实际工程案例阐述了基于SVA的形式化验证方法如何更好地在验证关键设计中发挥作用。

著录项

相似文献

  • 中文文献
  • 外文文献
  • 专利
获取原文

客服邮箱:kefu@zhangqiaokeyan.com

京公网安备:11010802029741号 ICP备案号:京ICP备15016152号-6 六维联合信息科技 (北京) 有限公司©版权所有
  • 客服微信

  • 服务号