首页> 中文期刊> 《科学之友》 >基于FPGA的NAND FLASH控制器的设计

基于FPGA的NAND FLASH控制器的设计

         

摘要

文章主要介绍了基于FPGA实现NAND FLASH控制器的一种方法.通过系统分析,对该控制器的功能进行了模块划分,分为FLASH控制模块、FLASH读模块、FLASH写模块、FLASH擦除模块以及坏块处理模块,并用VHDL硬件描述语言进行编程,从而实现了该功能.本设计使用开发环境为Xilinx公司提供的ISE以及与其相应的开发工具.

著录项

相似文献

  • 中文文献
  • 外文文献
  • 专利
获取原文

客服邮箱:kefu@zhangqiaokeyan.com

京公网安备:11010802029741号 ICP备案号:京ICP备15016152号-6 六维联合信息科技 (北京) 有限公司©版权所有
  • 客服微信

  • 服务号