首页> 中文期刊>电子世界 >一种外部存储器接口总线测试方法

一种外部存储器接口总线测试方法

     

摘要

外部存储器接口(EMIF)是DSP的一种典型的高速并行接口。为了扩展DSP引脚资源,把FPGA挂在EMIF总线下,通过FPGA来实现DSP与其他外部设备相连。现阶段对EMIF接口的研究主要集中在读写时序的研究及软件实现,在测试性方面的研究较少。并且目前对总线的测试,一般是通过读写逻辑测试寄存器对总线信号进行简单测试。本文提出一种简单有效,可操作性强的测试方法,对EMIF接口总线数据线、地址线进行遍历测试,能够及时发现并且定位芯片在焊接过程中由于人工操作失误导致的相关硬件管脚粘连缺陷,且不需要额外硬件。

著录项

  • 来源
    《电子世界》|2020年第11期|119-120|共2页
  • 作者

    李丹;

  • 作者单位

    中国西南电子技术研究所;

  • 原文格式 PDF
  • 正文语种 chi
  • 中图分类
  • 关键词

  • 入库时间 2023-07-24 17:02:13

相似文献

  • 中文文献
  • 外文文献
  • 专利
获取原文

客服邮箱:kefu@zhangqiaokeyan.com

京公网安备:11010802029741号 ICP备案号:京ICP备15016152号-6 六维联合信息科技 (北京) 有限公司©版权所有
  • 客服微信

  • 服务号