首页> 中文期刊> 《重庆高教研究 》 >基于FPGA的最小移频键控调制器的设计与实现

基于FPGA的最小移频键控调制器的设计与实现

             

摘要

对最小移频键控(MSK)调制系统的原理进行了分析,提出了一种基于DDS设计MSK调制器的方法,设计了基于FPGA的MSK调制器,并在QuartusⅡ下进行了时序仿真,将设计的调制器下载到硬件进行了测试.实践表明,该调制器具有最小功率谱占用率和相位连续的特点,具有良好的频谱特性,能在给定的频带内传送很高的比特速率.

著录项

相似文献

  • 中文文献
  • 外文文献
  • 专利
获取原文

客服邮箱:kefu@zhangqiaokeyan.com

京公网安备:11010802029741号 ICP备案号:京ICP备15016152号-6 六维联合信息科技 (北京) 有限公司©版权所有
  • 客服微信

  • 服务号