首页> 外文学位 >High-performance and Low-power Clock Network Synthesis in the Presence of Variation.
【24h】

High-performance and Low-power Clock Network Synthesis in the Presence of Variation.

机译:存在变化时的高性能和低功耗时钟网络综合。

获取原文
获取原文并翻译 | 示例

摘要

Semiconductor technology scaling requires continuous evolution of all aspects of physical design of integrated circuits. Among the major design steps, clock-network synthesis has been greatly affected by technology scaling, rendering existing methodologies inadequate. Clock routing was previously sufficient for smaller ICs, but design difficulty and structural complexity have greatly increased as interconnect delay and clock frequency increased in the 1990s. Since a clock network directly influences IC performance and often consumes a substantial portion of total power, both academia and industry developed synthesis methodologies to achieve low skew, low power and robustness from PVT variations. Nevertheless, clock network synthesis under tight constraints is currently the least automated step in physical design and requires significant manual intervention, undermining turn-around-time. The need for multi-objective optimization over a large parameter space and the increasing impact of process variation make clock network synthesis particularly challenging.;Our work identifies new objectives, constraints and concerns in the clock-network synthesis for systems-on-chips and microprocessors. To address them, we generate novel clock-network structures and propose changes in traditional physical-design flows. We develop new modeling techniques and algorithms for clock power optimization subject to tight skew constraints in the presence of process variations. In particular, we offer SPICE-accurate optimizations of clock networks, coordinated to reduce nominal skew below 5 ps, satisfy slew constraints and trade-off skew, insertion delay and power, while tolerating variations. To broaden the scope of clock-network-synthesis optimizations, we propose new techniques and a methodology to reduce dynamic power consumption by 6.8%-11.6% for large IC designs with macro blocks by integrating clock network synthesis within global placement. We also present a novel non-tree topology that is 2.3 x more power-efficient than mesh structures. We fuse several clock trees to create large-scale redundancy in a clock network to bridge the gap between tree-like and mesh-like topologies. Integrated optimization techniques for high-quality clock networks described in this dissertation strong empirical results in experiments with recent industry-released benchmarks in the presence of process variation. Our software implementations were recognized with the first-place awards at the ISPD 2009 and ISPD 2010 Clock-Network Synthesis Contests organized by IBM Research and Intel Research.
机译:半导体技术的规模化要求集成电路物理设计各个方面的不断发展。在主要的设计步骤中,时钟网络综合已受到技术扩展的极大影响,从而使现有方法不足。时钟布线以前足以用于较小的IC,但随着1990年代互连延迟和时钟频率的增加,设计难度和结构复杂性大大增加。由于时钟网络直接影响IC性能并且经常消耗总功率的很大一部分,因此学术界和行业开发的综合方法论都可以通过PVT变化实现低偏斜,低功耗和鲁棒性。然而,在严格约束下的时钟网络综合目前是物理设计中自动化程度最低的步骤,需要大量的人工干预,从而缩短了周转时间。在较大的参数空间上进行多目标优化的需求以及工艺变化的影响越来越大,这使得时钟网络综合特别具有挑战性;;我们的工作确定了片上系统和微处理器的时钟网络综合的新目标,约束条件和关注点。为了解决这些问题,我们生成了新颖的时钟网络结构并提出了对传统物理设计流程的更改的建议。在存在工艺变化的情况下,我们针对严格的偏斜约束开发了用于时钟功率优化的新建模技术和算法。特别是,我们提供了时钟网络的SPICE精确优化,旨在将标称偏斜降低到5 ps以下,并满足压摆约束和折衷偏斜,插入延迟和功耗的要求,同时可以容忍变化。为了拓宽时钟网络综合优化的范围,我们提出了新技术和方法,可通过将时钟网络综合集成到全局布局中,将带有宏块的大型IC设计的动态功耗降低6.8%-11.6%。我们还提出了一种新颖的非树形拓扑,其功耗效率比网格结构高2.3倍。我们融合了几棵时钟树,以在时钟网络中创建大规模冗余,以弥合树状和网状拓扑之间的差距。本文所描述的针对高质量时钟网络的集成优化技术,在存在工艺变化的情况下,利用最新的行业基准进行了实验,结果具有很强的经验性。我们的软件实现在IBM Research和Intel Research组织的ISPD 2009和ISPD 2010时钟网络综合竞赛中获得了第一名的殊荣。

著录项

  • 作者

    Lee, Dong Jin.;

  • 作者单位

    University of Michigan.;

  • 授予单位 University of Michigan.;
  • 学科 Engineering Electronics and Electrical.;Engineering Computer.
  • 学位 Ph.D.
  • 年度 2011
  • 页码 157 p.
  • 总页数 157
  • 原文格式 PDF
  • 正文语种 eng
  • 中图分类
  • 关键词

相似文献

  • 外文文献
  • 中文文献
  • 专利
获取原文

客服邮箱:kefu@zhangqiaokeyan.com

京公网安备:11010802029741号 ICP备案号:京ICP备15016152号-6 六维联合信息科技 (北京) 有限公司©版权所有
  • 客服微信

  • 服务号