首页> 中文学位 >NAND Flash控制器的FPGA验证
【6h】

NAND Flash控制器的FPGA验证

代理获取

目录

封面

声明

中文摘要

英文摘要

致谢

目录

第一章 引言

1.1 验证的意义

1.2 FLASH Memory概述和发展趋势

1.3 课题的来源及研究背景与意义

1.4 本文研究主要内容

1.5 论文的组织结构

第二章 NAND Flash Memory介绍

2.1 NAND Flash种类

2.2 NAND Flash的结构

2.3 NAND Flash的主要操作

2.4 坏块管理

2.5 NAND Flash 同步接口时序

2.6 本章小结

第三章 NAND Flash控制器的仿真验证

3.1 NAND Flash控制器的模块介绍

3.2 验证原理

3.3 验证计划

3.4 验证平台的建立

3.5 操作命令的仿真结果

3.6 本章小结

第四章 NAND Flash控制器的FPGA验证

4.1 FPGA介绍

4.2 FPGA验证在 ASIC中的意义

4.3 FPGA的验证流程

4.4 FPGA验证平台的搭建

4.5 验证过程及结果

4.6 本章小结

第五章 总结与展望

参考文献

攻读硕士学位期间发表的论文

特别声明

展开▼

摘要

随着ASIC设计的复杂度越来越高,验证的工作变得更加地困难。而FPGA验证的目的就是用FPGA在实际应用系统中进行验证而不是用ASIC样片。由于仿真软件都是在理想的环境下验证,因此一些延时等隐藏的问题难以被发现。而FPGA验证是在真实的物理环境中进行验证,因此更加容易发现错误。从而使设计工程师可以在流片前发现并解决这些错误。
  首先,研究NANDFlash的规范说明书。分析NANDFlash的内部结构、外部引脚和常用的操作命令。分析NANDFlash每一个操作流程。阐述NANDFlash坏块管理的方式和同步接口时序。
  其次,对NANDFlash控制器进行仿真验证。根据NANDFlash的规范说明书,制定详细的验证计划,建立测试平台,并根据验证计划,对NANDFlash控制器进行全面的功能验证。
  最后,对NANDFlash控制器进行FPGA验证。实现ASIC代码到FPGA代码的转换,然后对FPGA代码进行仿真验证。使用FPGA开发板HAPS-51T建立FPGA验证平台。使用三星和美光的NANDFlash产品,分别对NANDFlash控制器进行FPGA验证。验证结果表明:NANDFlash控制器工作完全正常。
  FPGA提供了真实的物理测试环境,提高了验证的效率。并且确保验证工作的顺利完成。

著录项

相似文献

  • 中文文献
  • 外文文献
  • 专利
代理获取

客服邮箱:kefu@zhangqiaokeyan.com

京公网安备:11010802029741号 ICP备案号:京ICP备15016152号-6 六维联合信息科技 (北京) 有限公司©版权所有
  • 客服微信

  • 服务号