首页> 中文学位 >Sigma-Delta ADC中调制器与数字抽取滤波器的研究与设计
【6h】

Sigma-Delta ADC中调制器与数字抽取滤波器的研究与设计

代理获取

目录

声明

插图索引

表格索引

符号对照表

缩略语对照表

第一章 绪论

1.1课题背景及研究意义

1.2国内外研究现状

1.3论文主要工作及内容安排

第二章 Sigma-Delta ADC基本原理

2.1 Sigma-Delta ADC性能参数

2.2 Sigma-Delta ADC系统架构

2.3 Sigma-Delta调制器基本原理

2.4 Sigma-Delta调制器结构

2.5数字抽取滤波器

2.6本章小结

第三章 Sigma-Delta调制器系统设计与仿真

3.1理想调制器模型的设计与仿真

3.2非理想因素建模与仿真

3.3本章小结

第四章 Sigma-Delta调制器电路设计与仿真

4.1积分器设计

4.2运算放大器设计

4.3两相非交叠时钟设计

4.4量化器设计

4.5前馈求和电路设计

4.6噪声抵消电路设计

4.7调制器前仿真

4.8本章小结

第五章 数字抽取滤波器的设计与仿真

5.1整体性能指标与架构

5.2数字抽取滤波器系统设计

5.3 RTL代码设计

5.4整体数模混合仿真验证

5.5本章小结

第六章 总结与展望

6.1论文工作总结

6.2未来工作展望

参考文献

致谢

作者简介

展开▼

著录项

相似文献

  • 中文文献
  • 外文文献
  • 专利
代理获取

客服邮箱:kefu@zhangqiaokeyan.com

京公网安备:11010802029741号 ICP备案号:京ICP备15016152号-6 六维联合信息科技 (北京) 有限公司©版权所有
  • 客服微信

  • 服务号