首页> 中文学位 >基于EDA技术的脉搏测试仪的设计
【6h】

基于EDA技术的脉搏测试仪的设计

代理获取

目录

封面

声明

中文摘要

英文摘要

目录

第一章 绪论

1.1 概述

1.2 脉搏测量的研究现状及意义

1.3 电子设计自动化(EDA)发展概述

1.4 硬件描述语言(VHDL)简介

1.5 系统的开发环境

1.6 本章小结

第二章 脉搏信号采集与分析理论概述

2.1脉搏信号采集方法的研究

2.2脉搏波信号的传播理论和仿真模拟的研究

2.3脉搏信号处理与分析方法的研究

2.4 本章小结

第三章 脉搏测量系统电路原理图及VHDL设计方案

3.1 用CPLD实现脉搏测量

3.2 脉搏测量系统电路原理图

3.3 脉搏测量计的VHDL设计

3.4 本章小结

第四章 脉搏测试仪程序模块及VHDL语言设计

4.1 分频模块

4.2 定时模块

4.3 计数模块

4.4 比较模块

4.5 点阵显示模块

4.6 计数显示模块

4.7 本章小结

第五章 脉搏测试仪的VHDL程序的编译综合仿真

5.1 编译、综合

5.2 系统VHDL描述波形仿真

5.3 本章小结

第六章 结论与展望

6.1结论

6.2展望

参考文献

致谢

展开▼

摘要

随着计算机应用的普及以及计算机技术的智能化和集成电路(IC)技术的发展,电子设计自动化(EDA)逐渐成为重要的设计手段,已经广泛应用于模拟与数字电路系统等许多领域。将EDA技术应用于医学,并使EDA技术和脉搏信号的采集与测试相结合,基于CPLD/FPGA上开发应用,达到软硬件协同实现 EDA技术于医学方面应用的目的,使其在医学方面的应用愈之广泛。
  本文中主要完成了脉搏测量系统的硬件平台和软件设计。硬件平台的设计上采用模块化的设计思路,采用EPM1270作为主芯片,其外围模块包括电源及复位电路模块、时钟模块、数码管显示模块、点阵显示模块、LED灯驱动模块、控制开关模块、蜂鸣器模块等;软件开发设计上应用VHDL语言编写主程序,采用模块化和自顶向下的设计思路实现了对信号的测量与监控,通过分频器模块、定时器模块、计数器模块、比较器模块、点阵显示模块、计数显示数据的显示模块实现了对脉率和心率的测量,并对异常信号发出警示,从而从异常值判定身体目前的健康状况;还可以测量心率,对心跳过快或过缓的状况发出警示信号;由于正常脉率的节律是有规则的,均匀的搏动,间隔时间应相等,也在一定程度上反应了心脏功能,因而该系统还可监控脉率的规则和均匀性、脉搏和心率的测量和监控、心率均匀性也可进行实时监控。

著录项

  • 作者

    谈笑玲;

  • 作者单位

    青海师范大学;

  • 授予单位 青海师范大学;
  • 学科 计算机应用技术
  • 授予学位 硕士
  • 导师姓名 段新文;
  • 年度 2011
  • 页码
  • 总页数
  • 原文格式 PDF
  • 正文语种 中文
  • 中图分类 TH772.2;
  • 关键词

    脉搏测试仪; 软件设计; 信号采集; 硬件平台;

相似文献

  • 中文文献
  • 外文文献
  • 专利
代理获取

客服邮箱:kefu@zhangqiaokeyan.com

京公网安备:11010802029741号 ICP备案号:京ICP备15016152号-6 六维联合信息科技 (北京) 有限公司©版权所有
  • 客服微信

  • 服务号