首页> 中文学位 >基于FPGA的智能误码测试仪
【6h】

基于FPGA的智能误码测试仪

代理获取

目录

文摘

英文文摘

声明

第一章绪论

1.1研究背景及意义

1.2国内外研究现状

1.3系统仿真所应用的技术和编程语言的概述

1.4论文主要工作及章节安排

第二章系统设计原理与结构分析

2.1误码指标的基本概念

2.2误码检测原理

2.3伪随机序列及其产生原理

2.4总体方案设计

2.5方案论证

2.6小结

第三章硬件电路设计

3.1硬件系统结构框图

3.2关键技术

3.3硬件开发环境的建立

3.4误码仪收、发子系统硬件电路

3.5 MCU子系统硬件电路

3.6小结

第四章软件程序设计

4.1软件开发环境的建立

4.2 FPGA内部模块的总体结构

4.3发送系统内部模块设计

4.4发送系统MCU程序设计

4.5接收系统内部模块设计

4.6接收系统MCU程序设计

4.7总结

第五章系统调试结果及分析

5.1发射系统设置界面

5.2发送系统输出序列波形

5.3接收系统工作状态界面

5.4接收系统硬件实物及测试波形

5.5误码仪测试过程实物演示

5.6小结

第六章全文工作总结与展望

6.1全文工作总结

6.2展望

参考文献

附录

致谢

展开▼

摘要

误码率是检验数据传输设备及其信道工作质量的一个主要指标。误码测试数据往往作为评判通信传输设备性能或系统传输质量优劣的依据。误码仪广泛应用于各种通信领域。适合于通信接入网、传输网、数据通信等系统的现场开通、诊断和维护。目前市面上的误码仪大多只能测试电信部门的标准通信信道,低速以一、二次群为主,高速可达SDH信道速率;不能用于测试实际工作中大量存在的专用信道或自行架设的信道。基于这些因素,开发设计出一款基于FPGA的低速率智能误码测试仪,此误码仪能测试2048Kbps速率及Nx64Kbps速率的通信线路的误码性能。 文章分析研究了传统误码仪的工作原理与结构,制定出以FPGA为核心的误码仪设计方案,提出采用FPGA来完成误码仪的控制和测试模块一体化设计,利用VHDL语言在FPGA芯片上模拟实现了绝大部分的传统误码仪的功能,如误码插入、误码测试等功能。提高了系统功能扩展性和系统的集成度。 设计主要分为误码仪硬件电路的设计和FPGA内部代码软件设计两个部分,硬件设计主要是FPGA的外围电路设计。软件设计主要是使用硬件编程语言VHDL编程实现了传输速率在64kb/s~2Mb/s内速率可调、可手动发送误码、误码检测以及FPGA片外资源总体控制等误码仪主要功能。 由于结合FPGA及单片机的结构特点进行编程,该误码仪具有再升级和可移植能力强、体积小巧,功能强等优点;具有较高的实用价值和市场价值。

著录项

相似文献

  • 中文文献
  • 外文文献
  • 专利
代理获取

客服邮箱:kefu@zhangqiaokeyan.com

京公网安备:11010802029741号 ICP备案号:京ICP备15016152号-6 六维联合信息科技 (北京) 有限公司©版权所有
  • 客服微信

  • 服务号