首页> 外文会议>International Conference on Computer Aided Verification >Replacing Testing with Formal Verification in Intel Core i7 Processor Execution Engine Validation
【24h】

Replacing Testing with Formal Verification in Intel Core i7 Processor Execution Engine Validation

机译:用Intel Core i7处理器执行引擎验证替换测试中的正式验证测试

获取原文

摘要

Formal verification of arithmetic datapaths has been part of the established methodology for most Intel processor designs over the last years, usually in the role of supplementing more traditional coverage oriented testing activities. For the recent Intel Core i7 design we took a step further and used formal verification as the primary validation vehicle for the core execution cluster, the component responsible for the functional behaviour of all microinstructions. We applied symbolic simulation based formal verification techniques for full datapath, control and state validation for the cluster, and dropped coverage driven testing entirely. The project, involving some twenty person years of verification work, is one of the most ambitious formal verification efforts in the hardware industry to date. Our experiences show that under the right circumstances, full formal verification of a design component is a feasible, industrially viable and competitive validation approach.
机译:正式验证算术数据疗法的一部分是过去几年大多数英特尔处理器设计的成熟方法的一部分,通常在补充更传统的覆盖面向测试活动的作用。对于最近的英特尔酷睿i7设计,我们将进一步逐步进一步并使用正式验证作为核心执行集群的主要验证车辆,该组件负责所有微指令的功能行为。我们应用了基于符号仿真的正式验证技术,用于群集的完整数据路径,控制和状态验证,并完全丢弃覆盖驱动测试。该项目涉及大约二十个人的核查工作,是迄今为止硬件行业最雄心勃勃的正式核查努力之一。我们的经验表明,在适当的情况下,设计部件的全面核实是可行,工业可行和竞争的验证方法。

著录项

相似文献

  • 外文文献
  • 中文文献
  • 专利
获取原文

客服邮箱:kefu@zhangqiaokeyan.com

京公网安备:11010802029741号 ICP备案号:京ICP备15016152号-6 六维联合信息科技 (北京) 有限公司©版权所有
  • 客服微信

  • 服务号