首页> 外文会议>Cross-Strait Conference on Information Science and Technology >Improving Power Saving for Filter-Based Branch Target Buffer
【24h】

Improving Power Saving for Filter-Based Branch Target Buffer

机译:提高基于滤波器分支目标缓冲区的省电

获取原文

摘要

In this paper we propose an improved sentry-table (S-Table) filter based low power branch target buffer (BTB) of branch predictor in modern processors. The S-Table scheme filters unnecessary accesses of BTB to reduce dynamic power dissipation, but previously the power saving was found bound by the decoder power. The proposed hierarchical decoder (H-DEC) can significantly offset such effect. CACTI, SimpleScalar, Wattch tools and SPEC2000 benchmarks were used to conduct the investigation. From our empirical studies, power savings for BTB can be further improved from 19~38% to 68~91%; and those for branch predictor from 17~21% to 37~81%.
机译:在本文中,我们提出了一种在现代处理器中的基于分支预测器的基于分支预测器的基于Sentry-Table的低功率分支目标缓冲器(BTB)。 S-Table方案过滤BTB的不必要访问,以降低动态功耗,但先前发现的省电由解码器电源限制。所提出的分层解码器(H-DEC)可以显着抵消这种效果。仙人掌,简单,瓦特(Wattch工具和Spec2000基准)用于进行调查。从我们的实证研究,BTB的储蓄可以从19〜38%进一步提高到68〜91%;分支预测器的分支机构从17〜21%到37〜81%。

著录项

相似文献

  • 外文文献
  • 中文文献
  • 专利
获取原文

客服邮箱:kefu@zhangqiaokeyan.com

京公网安备:11010802029741号 ICP备案号:京ICP备15016152号-6 六维联合信息科技 (北京) 有限公司©版权所有
  • 客服微信

  • 服务号