首页> 外文会议>Embedded Systems Conference >SystemC and SystemVerilog for Electronic System-Level (ESL) Design Class # ESC-470
【24h】

SystemC and SystemVerilog for Electronic System-Level (ESL) Design Class # ESC-470

机译:SystemC和SystemVerilog用于电子系统级(ESL)设计类#ESC-470

获取原文
获取外文期刊封面目录资料

摘要

The development of today's electronic products poses many design and verification challenges. To deal with the inherent complexity of the combined hardware and software aspects of these embedded systems, academics and companies alike are exploring new methodologies under the umbrella of electronic system-level (ESL) design. Some argue passionately that all development should be done in C or C++; some insist that the hardware development languages (Verilog and VHDL) can be extended to do the job, while others are proposing completely new languages to address the problem. This paper takes a practical, real-world view and presents the case that a well-integrated solution based on two existing, standard languages-SystemC and SystemVerilog-is all that's needed for the effective design and verification of embedded systems. SystemC, a language based on C++ ideal for high-level modeling of systems, and SystemVerilog, a complete hardware design and verification language, span the scope of design abstractions needed for today's complex products. When tightly integrated in a tool flow, the combination of these two languages enables a flexible mix of high-level and cycle-accurate portions of the design, providing mixed-level verification from the most abstract architectural models through RTL and even gate-level implementations. Moreover, SystemC and SystemVerilog are both widely used today, standardized by IEEE and other industry organizations, and supported by a broad range of tools from EDA vendors. No other existing or proposed approach has these same attributes-the right embedded design and verification solution spanning ESL and RTL is here today.
机译:当今电子产品的发展提出了许多设计和验证挑战。为了应对这些嵌入式系统,学者和企业都被电子系统级(ESL)设计的保护伞下探索新的方法的组合的硬件和软件方面固有的复杂性。有些人热情,所有的发展应该在C或C ++来完成;一些坚持认为硬件开发语言(Verilog和VHDL)可以扩展到做的工作,而另一些则提出完全新的语言来解决这个问题。本文以一个实际的,现实世界的看法并提出了基于两个现有的标准语言,SystemC和良好集成的解决方案的情况下SystemVerilog的,是所有的需要的有效设计和嵌入式系统的验证。 SystemC的,基于C ++理想的系统高级建模语言,和SystemVerilog,一个完整的硬件设计和验证语言,跨越满足现今复杂的产品设计抽象的范畴。当紧密集成在一个工具流,这两种语言的结合,使高级别和设计的周期精确部分的柔性结构,从通过RTL甚至门级实现最抽象体系结构模型提供混合级验证。此外,SystemC和SystemVerilog的今天都广泛使用,由IEEE等行业组织规范,并通过广泛的来自EDA供应商的工具支持。没有任何其他现有的或提出的方法具有这些相同的属性,正确的嵌入式设计和验证解决方案跨越ESL和RTL今天就在这里。

著录项

相似文献

  • 外文文献
  • 中文文献
  • 专利
获取原文

客服邮箱:kefu@zhangqiaokeyan.com

京公网安备:11010802029741号 ICP备案号:京ICP备15016152号-6 六维联合信息科技 (北京) 有限公司©版权所有
  • 客服微信

  • 服务号