首页> 外文会议>International Symposium on Microarchitecture >Reducing DRAM Latency via Charge-Level-Aware Look-Ahead Partial Restoration
【24h】

Reducing DRAM Latency via Charge-Level-Aware Look-Ahead Partial Restoration

机译:通过充电级别感知向前部分恢复减少DRAM延迟

获取原文

摘要

Long DRAM access latency is a major bottleneck for system performance. In order to access data in DRAM, a memory controller (1) activates (i.e., opens) a row of DRAM cells in a cell array, (2) restores the charge in the activated cells back to their full level, (3) performs read and write operations to the activated row, and (4) precharges the cell array to prepare for the next activation. The restoration operation is responsible for a large portion (up to 43.6%) of the total DRAM access latency. We find two frequent cases where the restoration operations performed by DRAM do not need to fully restore the charge level of the activated DRAM cells, which we can exploit to reduce the restoration latency. First, DRAM rows are periodically refreshed (i.e., brought back to full charge) to avoid data loss due to charge leakage from the cell. The charge level of a DRAM row that will be refreshed soon needs to be only partially restored, providing just enough charge so that the refresh can correctly detect the cells' data values. Second, the charge level of a DRAM row that will be activated again soon can be only partially restored, providing just enough charge for the activation to correctly detect the data value. However, partial restoration needs to be done carefully: for a row that will be activated again soon, restoring to only the minimum possible charge level can undermine the benefits of complementary mechanisms that reduce the activation time of highly-charged rows. To enable effective latency reduction for both activation and restoration, we propose charge-level-aware look-ahead partial restoration (CAL). CAL consists of two key components. First, CAL accurately predicts the next access time, which is the time between the current restoration operation and the next activation of the same row. Second, CAL uses the predicted next access time and the next refresh time to reduce the restoration time, ensuring that the amount of partial charge restoration is enough to maintain the benefits of reducing the activation time of a highly-charged row. We implement CAL fully in the memory controller, without any changes to the DRAM module. Across a wide variety of applications, we find that CAL improves the average performance of an 8-core system by 14.7%, and reduces average DRAM energy consumption by 11.3%.
机译:龙DRAM访问延迟对于系统性能的主要瓶颈。为了在DRAM,存储器控制器存取的数据(1)激活(即打开)DRAM单元的在单元阵列的行,(2)恢复了在活化细胞中的电荷返回到其满电平,(3)进行读取和写入操作的激活的行,和(4)预充电单元阵列为下一次激活作准备。恢复操作是负责总的DRAM存取延迟的大部分(高达43.6%)。我们发现其中由DRAM执行恢复操作不需要完全恢复激活DRAM单元,这是我们可以利用以减少恢复等待时间的充电二级案件频发。首先,DRAM行被周期性地刷新(即,带回满充电),以避免数据损失,由于从电池的电荷泄漏。一个DRAM行,将被刷新的电量很快就仅需要部分恢复,只提供足够的电荷因此刷新可以正确检测细胞的数据值。其次,DRAM行,将很快再次被激活的电量只能部分恢复,为激活只提供足够的电荷正确地检测数据值。然而,部分恢复需要谨慎进行:对于将很快再次被激活,恢复到只有尽可能小的电量可以破坏降低高充电行的激活时间补充机制的好处一行。为了使两个激活和恢复有效减少延迟,我们建议充电级别感知的先行部分恢复(CAL)。 CAL由两个关键组件。首先,CAL准确预测下一个存取时间,这是当前的恢复操作,并且在同一行的下一个激活之间的时间。其次,CAL使用预测下一次访问时间和下一个刷新的时间,减少恢复时间,确保部分电荷恢复量足以维持降低高充电行的激活时间的好处。我们在存储控制器全面贯彻CAL,没有任何改变DRAM模块。跨越各种各样的应用,我们发现,CAL由14.7%提高的8核系统的平均性能,以及11.3%减少DRAM的平均能耗。

著录项

相似文献

  • 外文文献
  • 中文文献
  • 专利
获取原文

客服邮箱:kefu@zhangqiaokeyan.com

京公网安备:11010802029741号 ICP备案号:京ICP备15016152号-6 六维联合信息科技 (北京) 有限公司©版权所有
  • 客服微信

  • 服务号