首页> 外文会议>International Symposium on Microarchitecture >Voltage-Stacked GPUs: A Control Theory Driven Cross-Layer Solution for Practical Voltage Stacking in GPUs
【24h】

Voltage-Stacked GPUs: A Control Theory Driven Cross-Layer Solution for Practical Voltage Stacking in GPUs

机译:电压堆叠GPU:GPU中实际电压堆叠的控制理论驱动的跨层解决方案

获取原文

摘要

More than 20% of the available energy is lost in "the last centimeter" from the PCB board to the microprocessor chip due to inherent inefficiencies of power delivery subsystems (PDSs) in today's computing systems. By series-stacking multiple voltage domains to eliminate explicit voltage conversion and reduce loss along the power delivery path, voltage stacking (VS) is a novel configuration that can improve power delivery efficiency (PDE). However, VS suffers from aggravated levels of supply noise caused by current imbalance between the stacking layers, preventing its practical adoption in mainstream computing systems. Throughput-centric manycore architectures such as GPUs intrinsically exhibit more balanced workloads, yet suffer from lower PDE, making them ideal platforms to implement voltage stacking. In this paper, we present a cross-layer approach to practical voltage stacking implementation in GPUs. It combines circuit-level voltage regulation using distributed charge-recycling integrated voltage regulators (CR-IVRs) with architecture-level voltage smoothing guided by control theory. Our proposed voltage-stacked GPUs can eliminate 61.5% of total PDS energy loss and achieve 92.3% system-level power delivery efficiency, a 12.3% improvement over the conventional single-layer based PDS. Compared to the circuit-only solution, the cross-layer approach significantly reduces the implementation cost of voltage stacking (88% reduction in area overhead) without compromising supply reliability under worst-case scenarios and across a wide range of real-world benchmarks. In addition, we demonstrate that the cross-layer solution not only complements on-chip CR-IVRs to transparently manage current imbalance and restore stable layer voltages, but also serves as a seamless interface to accommodate higher-level power optimization techniques, traditionally thought to be incompatible with a VS configuration.
机译:可用能量的20%以上是失去了在从PCB板到微处理器芯片“最后厘米”由于在当今的计算系统的电力输送子系统(电气传动系统)的固有的低效率。通过串联堆叠多个电压域,以消除显式电压转换和减小沿功率输送路径损耗,电压堆叠(VS)是能够提高动力传递效率(PDE)的新颖结构。然而,VS从供应的恶化水平由堆叠层之间的电流不平衡噪声引起的遭受,防止主流计算系统及其实际采用。吞吐量为中心的多核架构,例如GPU的本质表现出更均衡的工作量,但是从较低的PDE痛苦,使他们的理想平台,以实现电压叠加。在本文中,我们提出了一个跨层方法实际电压在GPU的堆叠实现。它结合使用分布式电荷再循环集成电压调节器(CR-的IVR)与由控制理论引导架构级电压平滑电路电平的电压调节。我们提出的电压堆叠的GPU可以消除总PDS能量损失的61.5%和达到92.3%的系统级功率递送效率,比传统的单层基于PDS 12.3%的改进。相比唯一的电路方案中,跨层方法显著降低电压堆叠(面积开销减少88%)的无下最坏的情况和在大范围的真实世界的基准损害供电可靠性的实现成本。此外,我们证明了跨层解决方案不仅芯片CR-IVR的互补透明地管理电流不平衡和恢复稳定层的电压,而且作为一个无缝接口,以适应更高级别的电源优化技术,传统上认为是具有VS配置不兼容。

著录项

相似文献

  • 外文文献
  • 中文文献
  • 专利
获取原文

客服邮箱:kefu@zhangqiaokeyan.com

京公网安备:11010802029741号 ICP备案号:京ICP备15016152号-6 六维联合信息科技 (北京) 有限公司©版权所有
  • 客服微信

  • 服务号