首页> 外文会议>International Symposium on Microarchitecture >Fine-Grained DRAM: Energy-Efficient DRAM for Extreme Bandwidth Systems
【24h】

Fine-Grained DRAM: Energy-Efficient DRAM for Extreme Bandwidth Systems

机译:细粒度DRAM:极端带宽系统的节能DRAM

获取原文
获取外文期刊封面目录资料

摘要

Future GPUs and other high-performance throughput processors will require multiple TB/s of bandwidth to DRAM. Satisfying this bandwidth demand within an acceptable energy budget is a challenge in these extreme bandwidth memory systems. We propose a new high-bandwidth DRAM architecture, Fine-Grained DRAM (FGDRAM), which improves bandwidth by 4× and improves the energy efficiency of DRAM by 2× relative to the highest-bandwidth, most energy-efficient contemporary DRAM, High Bandwidth Memory (HBM2). These benefits are in large measure achieved by partitioning the DRAM die into many independent units, called grains, each of which has a local, adjacent I/O. This approach unlocks the bandwidth of all the banks in the DRAM to be used simultaneously, eliminating shared buses interconnecting various banks. Furthermore, the on-DRAM data movement energy is significantly reduced due to the much shorter wiring distance between the cell array and the local I/O. This FGDRAM architecture readily lends itself to leveraging existing techniques to reducing the effective DRAM row size in an area efficient manner, reducing wasteful row activate energy in applications with low locality. In addition, when FGDRAM is paired with a memory controller optimized to exploit the additional concurrency provided by the independent grains, it improves GPU system performance by 19% over an iso-bandwidth and iso-capacity future HBM baseline. Thus, this energy-efficient, high-bandwidth FGDRAM architecture addresses the needs of future extreme-bandwidth memory systems.
机译:未来的GPU和其它高性能吞吐量的处理器将需要多个TB / s的带宽,以DRAM。满足可接受的能源预算之内这个带宽的需求是在这些极端带宽存储系统的挑战。我们提出了一个新的高带宽DRAM架构,细粒度DRAM(FGDRAM),它由4提高了带宽×和2×相对最高的带宽,最节能的现代DRAM,高带宽提高DRAM的能源效率存储器(HBM2)。这些好处是在通过划分DRAM裸片成许多独立的单元,称为晶粒,其各自具有本地,相邻的I / O实现大度量。这种方法解锁所有在DRAM银行的带宽来同时使用,从而消除了共享总线互连的各种银行。此外,上-DRAM的数据移动的能量被显著由于单元阵列和本地I / O之间的更短的布线距离减小。此FGDRAM架构容易本身借给利用现有的技术,以减少在一个地区有效的方式有效DRAM行的大小,在具有低局部性应用减少无用行激活能量。此外,当FGDRAM与优化以利用由独立的颗粒所提供的额外的并发存储器控制器配对,它改进了异带宽和异容量未来HBM基线19%GPU系统的性能。因此,这种高能效,高带宽FGDRAM架构地址将来极端带宽存储器系统的需求。

著录项

相似文献

  • 外文文献
  • 中文文献
  • 专利
获取原文

客服邮箱:kefu@zhangqiaokeyan.com

京公网安备:11010802029741号 ICP备案号:京ICP备15016152号-6 六维联合信息科技 (北京) 有限公司©版权所有
  • 客服微信

  • 服务号