首页> 外文会议>International Symposium on High-Performance Computer Architecture >Voltage and frequency control with adaptive reaction time in multiple-clock-domain processors
【24h】

Voltage and frequency control with adaptive reaction time in multiple-clock-domain processors

机译:多时钟域处理器中具有自适应反应时间的电压和频率控制

获取原文

摘要

Dynamic voltage and frequency scaling (DVFS) is a widely used method for energy-efficient computing. In this paper, we present a new intra-task online DVFS scheme for multiple clock domain (MCD) processors. Most existing online DVFS schemes for MCD processors use a fixed time interval between possible voltage/frequency changes. The downside to this approach is that the interval boundaries are predetermined and independent of workload changes. Thus, they can be late in responding to large, severe activity swings. In this work, we propose an alternative online DVFS scheme in which the reaction time is self-tuned and adaptive to application and work-load changes. In addition to designing such a scheme, we model the proposed DVFS control and use the derived model in a formal stability analysis. The obtained analytical insight is then used to guide and improve the design in terms of stability margin and control effectiveness. We evaluate our DVFS scheme through cycle-accurate simulation over a wide set of MediaBench and SPEC2000 benchmarks. Compared to the best-known prior fixed-interval DVFS schemes for MCD processors, the proposed DVFS scheme has a simpler decision process, which leads to smaller and cheaper hardware. Our scheme has achieved significant energy savings over all studied benchmarks (19% energy savings with 3% performance degradation on average, which is close to the best results from existing fixed-interval DVFS schemes). For a group of applications with fast workload variations, our scheme outperforms existing fixed-interval DVFS schemes significantly due to its adaptive nature. Overall, we feel the proposed adaptive online DVFS scheme is an effective and promising alternative to existing fixed-interval DVFS schemes. Designers may choose the new scheme for processors with limited hardware budget, or if the anticipated work-load behavior is variable. In addition, the modeling and analysis techniques in this work serve as examples of using stability analysis in other aspects of high-performance CPU design and control.
机译:动态电压和频率缩放(DVFS)是一种广泛使用的节能计算方法。在本文中,我们为多个时钟域(MCD)处理器提供了一个新的任务内部在线DVFS方案。 MCD处理器的大多数现有的在线DVFS方案使用可能的电压/频率变化之间的固定时间间隔。这种方法的缺点是间隔边界是预先确定的并且与工作量变化无关。因此,它们可以迟到响应大,严重的活动摇摆。在这项工作中,我们提出了一种替代的在线DVFS方案,其中反应时间是自我调整的,适应应用程序和工作负载变化。除了设计这样的方案之外,我们还模拟所提出的DVFS控制并在正式稳定性分析中使用派生模型。然后使用所获得的分析洞察力在稳定性边缘和控制效果方面引导和改进设计。我们通过在广泛的MediaBench和Spec2000基准测试中通过周期准确模拟来评估我们的DVFS方案。与MCD处理器最着名的先前固定间隔DVFS方案相比,所提出的DVFS方案具有更简单的决策过程,导致更小和更便宜的硬件。我们的计划在所有研究的基准上达到了显着的节能(平均绩效劣化的3%节能19%,这与现有的固定间隔DVFS方案的最佳结果接近)。对于具有快速工作量变化的一组应用程序,我们的方案由于其适应性而显着优于现有的固定间隔DVFS方案。总的来说,我们觉得拟议的适应性在线DVFS方案是现有的固定间隔DVFS方案的有效和有希望的替代方案。设计人员可以选择具有有限硬件预算的处理器的新方案,或者如果预期的工作负载行为是可变的。此外,本工作中的建模和分析技术用作在高性能CPU设计和控制的其他方面使用稳定性分析的示例。

著录项

相似文献

  • 外文文献
  • 中文文献
  • 专利
获取原文

客服邮箱:kefu@zhangqiaokeyan.com

京公网安备:11010802029741号 ICP备案号:京ICP备15016152号-6 六维联合信息科技 (北京) 有限公司©版权所有
  • 客服微信

  • 服务号