首页> 外文会议>International Symposium on Microelectronics >Chip Last Fan Out as an Alternative to Chip First
【24h】

Chip Last Fan Out as an Alternative to Chip First

机译:芯片最后扇动作为芯片的替代品

获取原文

摘要

Smart phones & other portable devices have dominated Semiconductor growth, and drive IC packages smaller, lighter & thinner, and they continue to integrate more functions in that smaller volume. Besides SOC solutions driven by design houses or system companies, we have seen more packages of Quad Flat Non-lead (QFN), wafer level CSP (WLCSP), and system in package (SIP) being widely used in these smart phones & mobile devices. Fan out WLCSP (FOWLP) has great potential to be the next new package for the smart phone mobility application. Two factors have driven fan out WLCSP (FOWLP) package technology in the last few years. The first is the advancing technology nodes which allow the shrinkage of die, allowing more die per wafer. However this comes at the cost of reduced package area for I/Os such as solder ball interconnects. The second and potentially more important factor relates to the demand of the market for more functions. Not all silicon functionality benefits from these advanced nodes, and merely adds to the cost of the die. This has driven the designers to partitioning of desired functionality into multiple die, which in turn requires effective interconnection of these separate die. The packaging technology that has evolved to solve these two situations has been Fan Out Wafer Level Packaging (FOWLP). Up to date FOWLP used chip first processing, in which the bare die was molded into a wafer shaped carrier with die pads exposed. Typically sputtering is used to provide interconnects to the die pad followed by patterned electroplating of redistribution lines (RDL) to "Fan Out" the next level interconnect pads to regions that can extend on to the molded material beyond the die perimeter. These processes require the use of relatively expensive semiconductor front end classes of equipment and are tailored to handle the reconstituted molded plastic wafers. We will describe a new alternative to chip first FOWLP, an alternative which meets the needs of a large percentage of the applications requiring a packaging technology such as FOWLP. This new package has been in production in ASE for over a year, and uses a "Chip Last" approach to the problem of increasing useable interconnect pad area. Die which have been bumped with Copper (Cu) Pillars are mass reflowed onto a low cost coreless substrate, followed by over molding which also serves as the die underfill. The Cu pillars allow direct connection to die pads at 50 μm pitch or below, negating the requirement for RDL formation on the die. The use of embedded traces allows for fine lines and spaces down to 15μm or less, and bonding directly on to the bare Copper. The Cu Pillars are bonded to one side of the Copper trace, and the solderballs or LGA pads are directly on the opposite side of the Copper. This makes the substrate to be effectively only as thick as the Copper used in the traces, and allows the final package to be as thin as 400μm. Since this uses existing high volume packaging infrastructures, more complex assemblies including multiple die, inclusion of passive components, and 3D structures can be easily implemented. We have designated this package structure "Fan Out Chip Last Package (FOCLP)". For higher end applications we will show the ability to use a high density substrate process for use in more demanding chip last fan out packages.
机译:智能手机和其他便携式设备具有主导的半导体生长,驱动IC封装更小,更轻,更稀释剂,并且它们继续集成更多较小卷的功能。除了由设计房屋或系统公司驱动的SOC解决方案之外,我们还有更多的四扁平非引导(QFN),晶圆级CSP(WLCSP)和包装(SIP)的系统,这些智能手机和移动设备广泛应用于这些智能手机和移动设备。 Fan Out WLCSP(Fowlp)具有很大的潜力,可以成为智能手机移动应用程序的下一个新包装。在过去的几年里,两个因素已经驱动了WLCSP(FOWLP)包技术。首先是推进技术节点,其允许模具的收缩,允许每个晶片的更大的模具。然而,这是I / O等焊球互连的封装区域的成本。第二个和潜在更重要的因素涉及市场对更多功能的需求。并非所有硅功能都受益于这些高级节点,并且仅增加了模具的成本。这使设计人员推动了将所需功能分配到多个芯片中,这反过来需要有效地互连这些单独的模具。进化以解决这两个情况的包装技术已经扇出晶圆级包装(Fowlp)。最新的Fowlp使用芯片第一加工,其中裸芯模具成具有暴露的管芯焊盘的晶片形状的载体。通常,溅射用于向模具焊盘提供互连,然后将再分配线(RDL)的图案化电镀,以将下一个水平互连焊盘“敞开”到可延伸到模具周边的模制材料的区域。这些过程需要使用相对昂贵的半导体前端等级设备,并且定制以处理重构的模制塑料晶片。我们将描述芯片第一家禽的新替代方案,这是满足需要诸如Fowlp等包装技术的大百分比应用的需要的替代方案。这款新包已在ASE生产的一年内已在生产中,并使用“芯片最后”方法来增加可用互连焊盘区域的问题。用铜(Cu)柱撞击的模具是质量回流在低成本无芯基材上,然后过度模制,其也用作模底填充物。 Cu柱允许直接连接到50μm间距或下方的管芯焊盘,否定对模具上的RDL形成的要求。嵌入式迹线的使用允许细线和空间降至15μm或更小,并直接粘合到裸铜上。 Cu柱粘合到铜迹线的一侧,焊球或LGA焊盘直接在铜的相对侧。这使得基板仅仅像迹线中使用的铜一样厚,并且允许最终封装变薄至400μm。由于这使用现有的高容量封装基础设施,因此可以容易地实现包括多个模具,包括无源组件的更复杂的组件和3D结构。我们指定了这个包结构“扇出芯片上封装(Foclp)”。对于更高的终端应用,我们将显示使用高密度基板过程的能力,以便在更苛刻的芯片上使用更苛刻的芯片扇出套餐。

著录项

相似文献

  • 外文文献
  • 中文文献
  • 专利
获取原文

客服邮箱:kefu@zhangqiaokeyan.com

京公网安备:11010802029741号 ICP备案号:京ICP备15016152号-6 六维联合信息科技 (北京) 有限公司©版权所有
  • 客服微信

  • 服务号