首页> 外文会议>Conference on Novel Patterning Technologies for Semiconductors, MEMS/NEMS and MOEMS >Lithography Today: Challenges and Solutions across a Diverse Market
【24h】

Lithography Today: Challenges and Solutions across a Diverse Market

机译:今天的光刻:各种市场挑战和解决方案

获取原文

摘要

In 1970, Canon introduced its PLA mask aligner tool for the patterning of features on the order of 10 microns. Wafer sizes at the time were on the order of 50 to 75 mm, and circuitry was relatively simple. Since this time, the device landscape and pattern requirements have changed significantly over the last 50 years as has the markets that are served. Sensors and power devices are still made on relatively small wafers, while the integrated circuit manufacturing has standardized largely on 300mm wafers at various resolutions depending on devices or packaging. Displays are manufactured on much larger glass substrates with higher resolution mobile displays on Gen 6 substrates with large television displays up to Gen 10 which are several meters square. Canon has focused on providing a wide range of lithography equipment to cover the complete needs of our customers. From launching the first proximity mask aligners in 1970, in accordance with Moore's law, the lithography equipment has evolved in order to increase the degree of integration of semiconductor devices and reduce the critical dimensions of the devices. As for flat panel display lithography equipment, a mirror projection exposure tool, the MPA was introduced in the early 1980s. In recent years, i-line lithography equipment has been used for advanced packaging, specifically Fan Out Wafer Level Packaging interposers due to the demand for ultra-dense packaging for smartphones and wearables. Most recently Canon has developed a nanoimprint solution for the patterning of advanced memory devices. Imprint lithography is an effective and well-known technique for replication of nano-scale features. Nanoimprint lithography (NIL) manufacturing equipment utilizes a patterning technology that involves the field-by-field deposition and exposure of a low viscosity resist deposited by jetting technology onto the substrate. The patterned mask is lowered into the fluid which then quickly flows into the relief patterns in the mask by capillary action. Following this filling step, the resist is crosslinked under UV radiation, and then the mask is removed, leaving a patterned resist on the substrate. The technology faithfully reproduces patterns with a higher resolution and greater uniformity compared to those produced by photolithography equipment. In this paper we review the Canon product line and describe how it is being used to address semiconductor patterning, packaging and flat panel display manufacturing.
机译:1970年,佳能推出了其PLA掩模对准器工具,用于图案化10微米的特征。当时的晶片尺寸约为50至75毫米,电路相对简单。自此时以来,在过去的50年中,设备景观和模式要求发生了显着变化,因为具有服务的市场。传感器和功率器件仍然在相对较小的晶片上制造,而集成电路制造在根据装置或包装的各种分辨率下基于300mm晶片标准化。显示器在大大较大的玻璃基板上制造,具有更高分辨率的移动显示器,在Gen 6基板上,具有大电视显示器,直到几米正方形。佳能专注于提供广泛的光刻设备,以满足客户的完整需求。根据Moore的定律,推出了1970年的第一个接近掩模对准器,光刻设备已经进化,以提高半导体器件的集成度并减少器件的临界尺寸。至于平板显示光刻设备,镜面投影曝光工具,MPA在20世纪80年代初推出。近年来,由于对智能手机和可穿戴物的超密集包装的需求,I-Line光刻设备已被用于高级包装,具体扇形晶片级包装内插器。最近佳能开发了一种用于图案化先进存储器件的纳米视图解决方案。印记光刻是一种有效且众所周知的用于复制纳米规模特征的技术。 NanoImprint光刻(NIL)制造设备利用图案化技术,该技术涉及通过喷射技术沉积在基板上的逐场沉积和暴露于沉积在基板上的低粘度抗蚀剂。将图案化掩模降低到流体中,然后通过毛细作用快速地流入掩模中的浮雕图案。在该填充步骤之后,抗蚀剂在UV辐射下交联,然后去除掩模,在基板上留下图案化抗蚀剂。与光刻设备产生的那些,该技术忠实地再现具有更高分辨率和更大均匀性的模式。在本文中,我们审查了佳能产品线,并描述了如何用于解决半导体图案化,包装和平板显示器制造。

著录项

相似文献

  • 外文文献
  • 中文文献
  • 专利
获取原文

客服邮箱:kefu@zhangqiaokeyan.com

京公网安备:11010802029741号 ICP备案号:京ICP备15016152号-6 六维联合信息科技 (北京) 有限公司©版权所有
  • 客服微信

  • 服务号