首页> 外文会议>IEEE International Symposium on High Performance Computer Architecture >Mitigating Voltage Drop in Resistive Memories by Dynamic RESET Voltage Regulation and Partition RESET
【24h】

Mitigating Voltage Drop in Resistive Memories by Dynamic RESET Voltage Regulation and Partition RESET

机译:通过动态RESET电压调节和分区RESET缓解电阻式存储器中的压降

获取原文
获取外文期刊封面目录资料

摘要

The emerging resistive random access memory (ReRAM) technology has been deemed as one of the most promising alternatives to DRAM in main memories, due to its better scalability, zero cell leakage and short read latency. The cross-point (CP) array enables ReRAM to obtain the theoretical minimum 4F^2 cell size by placing a cell at the cross-point of a word-line and a bit-line. However, ReRAM CP arrays suffer from large sneak current resulting in significant voltage drop that greatly prolongs the array RESET latency. Although prior works reduce the voltage drop in CP arrays, they either substantially increase the array peripheral overhead or cannot work well with wear leveling schemes. In this paper, we propose two array micro-architecture level techniques, dynamic RESET voltage regulation (DRVR) and partition RESET (PR), to mitigate voltage drop on both bit-lines and word-lines in ReRAM CP arrays. DRVR dynamically provides higher RESET voltage to the cells far from the write driver and thus encountering larger voltage drop on a bit-line, so that all cells on a bit-line share approximately the same latency during RESETs. PR decides how many and which cells to reset online to partition the CP array into multiple equivalent circuits with smaller word-line resistance and voltage drop. Because DRVR and PR greatly reduce the array RESET latency, the ReRAM-based main memory lifetime under the worst case non-stop write traffic significantly decreases. To increase the CP array endurance, we further upgrade DRVR by providing lower RESET voltage to the cells suffering from less voltage drop on a word-line. Our experimental results show that, compared to the combination of prior voltage drop reduction techniques, our DRVR and PR improve the system performance by 11.7% and decrease the energy consumption by 46% averagely, while still maintaining >10-year main memory system lifetime.
机译:新兴的电阻式随机存取存储器(ReRAM)技术因其更好的可扩展性,零单元泄漏和较短的读取延迟而被视为主存储器中DRAM的最有希望的替代方案之一。交叉点(CP)阵列通过将单元放置在字线和位线的交叉点,使ReRAM能够获得理论上最小的4F ^ 2单元大小。但是,ReRAM CP阵列会遭受较大的潜电流,从而导致明显的电压降,从而大大延长了阵列RESET延迟时间。尽管先前的工作减少了CP阵列中的电压降,但它们要么大大增加了阵列外围设备的开销,要么不能与损耗均衡方案一起很好地工作。在本文中,我们提出了两种阵列微体系结构级别的技术,即动态RESET电压调节(DRVR)和分区RESET(PR),以减轻ReRAM CP阵列中位线和字线上的电压降。 DRVR动态地向远离写驱动器的单元提供更高的RESET电压,从而在位线上遇到较大的压降,因此位线上的所有单元在RESET期间共享大约相同的等待时间。 PR决定在线复位多少个单元以及将哪个单元复位,以将CP阵列划分为多个等效电路,这些电路具有较小的字线电阻和电压降。由于DRVR和PR大大减少了阵列RESET延迟,因此在最坏情况下不间断写入流量下,基于ReRAM的主存储器寿命会大大缩短。为了增加CP阵列的耐用性,我们通过向字线上的电压降较小的单元提供较低的RESET电压,进一步升级了DRVR。我们的实验结果表明,与先前的降压技术相结合,我们的DRVR和PR将系统性能平均提高了11.7%,能耗平均降低了46%,同时仍保持了超过10年的主存储系统寿命。

著录项

相似文献

  • 外文文献
  • 中文文献
  • 专利
获取原文

客服邮箱:kefu@zhangqiaokeyan.com

京公网安备:11010802029741号 ICP备案号:京ICP备15016152号-6 六维联合信息科技 (北京) 有限公司©版权所有
  • 客服微信

  • 服务号