【24h】

3D-DRAM Performance for Different OpenMP Scheduling Techniques in Multicore Systems

机译:多核系统中不同OpenMP调度技术的3D-DRAM性能

获取原文

摘要

Advances in memory technologies including 3DDRAM memories (such as High Bandwidth Memory (HBM) and Hybrid Memory Cube (HMC) systems), wide I/O memory promise very large bandwidths at lower power consumption to address the needs of high-performance computing as well as emerging big data applications. However, in order to fully benefit from such bandwidths, it is necessary to understand how to optimally organize data across channels, ranks, banks or vaults of the memory structures, how to obtain large volumes of data with fewer accesses and how to schedule threads of multi threaded applications to benefit from these memory organizations. In this paper, we will examine different memory organizations that spread data across channels, ranks, and banks and identify application features that benefit from different organizations. Our study applies to generic DDR memory structures as well as 3DDRAMs. We will also evaluate scheduling of OpenMP threads (e.g., using static, dynamic and guided) but with emphasis on how different scheduling methods benefit from different memory organizations. Using the best scheduling for the application, proper memory organization, our experiments show, we can achieve up to 16 percent performance gains depending on workload.
机译:内存技术(如高带宽存储器(HBM)和混合存储器立方体(HMC)系统)的进​​步(如高带宽存储器(HBM)),宽I / O内存在较低功耗下承担非常大的带宽,以满足高性能计算的需求作为新兴的大数据应用。但是,为了完全受益于这种带宽,有必要了解如何在内存结构的频道,排名,银行或拱顶上最佳地组织数据,如何获得具有较少访问的大量数据以及如何安排线程多线程应用程序可以从这些内存组织中受益。在本文中,我们将研究不同的内存组织,以跨渠道,排名和银行传播数据,并确定受益于不同组织的应用程序功能。我们的研究适用于通用DDR内存结构以及3DDRAM。我们还将评估OpenMP线程的调度(例如,使用静态,动态和引导),但重点是如何从不同的内存组织中受益于不同的调度方法。使用应用程序的最佳计划,适当的内存组织,我们的实验表明,我们可以根据工作量实现高达16%的性能提升。

著录项

相似文献

  • 外文文献
  • 中文文献
  • 专利
获取原文

客服邮箱:kefu@zhangqiaokeyan.com

京公网安备:11010802029741号 ICP备案号:京ICP备15016152号-6 六维联合信息科技 (北京) 有限公司©版权所有
  • 客服微信

  • 服务号