首页> 外文会议>International Conference on VLSI Design;International Conference on Embedded Systems Design >FirmLeak: A Framework for Efficient and Accurate Runtime Estimation of Leakage Power by Firmware
【24h】

FirmLeak: A Framework for Efficient and Accurate Runtime Estimation of Leakage Power by Firmware

机译:FirmLeak:一种通过固件高效准确地估计泄漏功率的框架

获取原文

摘要

Separating the dynamic power and leakage power components from total microprocessor power can enable new optimizations for cloud computing. To this end, we introduce FirmLeak, a new framework that enables accurate, real-time estimation of microprocessor leakage power by system software. FirmLeak accounts for power-gating regions, per-core voltage domains, and manufacturing variation. We present an experimental evaluation of FirmLeak on a POWER7+ microprocessor for a range of hardware parts, voltages and temperatures. We discuss how this can be used in two applications to manage power by 1) improving billing of energy for cloud computing and 2) optimizing fan power consumption.
机译:将动态功率和泄漏功率分量与总微处理器电源分开可以实现新的云计算优化。为此,我们介绍了一个新的框架,这是一种新的框架,可以通过系统软件进行准确,实时估计微处理器泄漏功率。 FirmLeak占用的电力门控区域,每核电压域和制造变化。我们在一系列硬件零件,电压和温度范围内对COULT7 +微处理器的FirmLeak进行了实验评估。我们讨论如何在两个应用中使用来管理功率1)提高云计算能量的计费和2)优化风扇功耗。

著录项

相似文献

  • 外文文献
  • 中文文献
  • 专利
获取原文

客服邮箱:kefu@zhangqiaokeyan.com

京公网安备:11010802029741号 ICP备案号:京ICP备15016152号-6 六维联合信息科技 (北京) 有限公司©版权所有
  • 客服微信

  • 服务号