首页> 外文会议>International Conference on Reconfigurable Computing and FPGAs >Evaluation of CGRA architecture for real-time processing of biological signals on wearable devices
【24h】

Evaluation of CGRA architecture for real-time processing of biological signals on wearable devices

机译:CGRA架构评价可穿戴设备实时处理生物信号的实时处理

获取原文

摘要

This paper describes the design and implementation of a coarse-grained reconfigurable array (CGRA) for low-power biological signal processing. It uses an use-case-driven approach which explores the application domain and gathers common requirements. The selected CGRA core architecture is implemented using a standard-cell flow (in a generic 90 nm CMOS process), so that the CGRA can be totally or partially turned off by power gating. The selected CGRA design is evaluated for two use-cases using layout information and accurate node activity information. The resulting accelerator is capable of performing various signal processing tasks very efficiently, achieving an average power consumption of 19.9pJ/cycle (or 1.99 mW at 100 MHz). Static power consumption for less intensive tasks can be reduced by using only some sections of the CGRA while powering-off others.
机译:本文介绍了用于低功耗生物信号处理的粗粒粒度可再配置阵列(CGRA)的设计和实现。它使用了一种使用案例驱动的方法,探讨了应用程序域并收集常见要求。所选择的CGRA核心架构是使用标准单元流实现的(在通用90nm CMOS过程中)实现,从而CGRA可以通过功率门控完全或部分地关闭。使用布局信息和准确节点活动信息评估所选的CGRA设计。得到的加速器能够非常有效地执行各种信号处理任务,实现19.9pj /循环的平均功耗(或在100 MHz的1.99mw)。通过仅使用CGRA的某些部分,可以减少用于更少的密集任务的静电消耗。

著录项

相似文献

  • 外文文献
  • 中文文献
  • 专利
获取原文

客服邮箱:kefu@zhangqiaokeyan.com

京公网安备:11010802029741号 ICP备案号:京ICP备15016152号-6 六维联合信息科技 (北京) 有限公司©版权所有
  • 客服微信

  • 服务号