首页> 外文会议>2011 29th IEEE VLSI Test Symposium >Static test compaction for delay fault test sets consisting of broadside and skewed-load tests
【24h】

Static test compaction for delay fault test sets consisting of broadside and skewed-load tests

机译:静态测试压缩,用于延迟故障测试集,包括侧面测试和偏载测试

获取原文

摘要

Test sets that consist of both broadside and skewed-load tests provide improved delay fault coverage for standard-scan circuits. This paper describes a static test compaction procedure for such mixed test sets. The unique feature of the procedure is that it can modify the type of a test (from broadside to skewed-load or from skewed-load to broadside) if this contributes to test compaction. Experimental results demonstrate that the procedure is able to reduce the sizes of available mixed test sets significantly. Moreover, it modifies the types of significant numbers of tests before including them in the compacted test set.
机译:由宽边测试和偏载测试组成的测试仪为标准扫描电路提供了改进的延迟故障覆盖率。本文介绍了针对此类混合测试集的静态测试压缩程序。该过程的独特之处在于,如果这有助于测试压缩,则可以修改测试的类型(从宽边到斜载,或者从斜边到宽边)。实验结果表明,该程序能够显着减小可用混合测试集的大小。而且,在将大量测试的类型包含在压缩测试集中之前,它会对其进行修改。

著录项

相似文献

  • 外文文献
  • 中文文献
  • 专利
获取原文

客服邮箱:kefu@zhangqiaokeyan.com

京公网安备:11010802029741号 ICP备案号:京ICP备15016152号-6 六维联合信息科技 (北京) 有限公司©版权所有
  • 客服微信

  • 服务号