首页> 外文会议>IEEE International Symposium on High Performance Computer Architecture >Impala: Algorithm/Architecture Co-Design for In-Memory Multi-Stride Pattern Matching
【24h】

Impala: Algorithm/Architecture Co-Design for In-Memory Multi-Stride Pattern Matching

机译:Impala:算法/架构共同设计用于内存多级竞争匹配

获取原文

摘要

High-throughput and concurrent processing of thousands of patterns on each byte of an input stream is critical for many applications with real-time processing needs, such as network intrusion detection, spam filters, virus scanners, and many more. The demand for accelerated pattern matching has motivated several recent in-memory accelerator architectures for automata processing, which is an efficient computation model for pattern matching. Our key observations are: (1) all these architectures are based on 8-bit symbol processing (derived from ASCII), and our analysis on a large set of real-world automata benchmarks reveals that the 8-bit processing dramatically underutilizes hardware resources, and (2) multi-stride symbol processing, a major source of throughput growth, is not explored in the existing in-memory solutions. This paper presents Impala, a multi-stride in-memory automata processing architecture by leveraging our observations. The key insight of our work is that transforming 8-bit processing to 4-bit processing exponentially reduces hardware resources for state-matching and improves resource utilization. This, in turn, brings the opportunity to have a denser design, and be able to utilize more memory columns to process multiple symbols per cycle with a linear increase in state-matching resources. Impala thus introduces three-fold area, throughput, and energy benefits at the expense of increased offline compilation time. Our empirical evaluations on a wide range of automata benchmarks reveal that Impala has on average 2.7X (up to 3.7X) higher throughput per unit area and 1.22X lower power consumption than Cache Automaton, which is the best performing prior work.
机译:在输入流的每个字节上的高吞吐量和并发处理对输入流的每个字节上的数千种模式对于许多具有实时处理需求的应用至关重要,例如网络入侵检测,垃圾邮件过滤器,病毒扫描仪等等。加速模式匹配的需求具有用于自动处理的几个最近内存加速器架构,这是一种有效的模式匹配计算模型。我们的主要观察是:(1)所有这些架构都基于8位符号处理(源自ASCII),我们对大量现实自动机基准测试的分析表明,8位处理显着降低了硬件资源, (2)多级符号处理,在现有的内存解决方案中未探讨吞吐量增长的主要来源。本文通过利用我们的观察来介绍Impala,一种多级内存自动机加工架构。我们的工作的关键介入是将8位处理转换为4位处理,指数呈现用于状态匹配的硬件资源并提高资源利用率。反过来,这使得有机会具有更密度设计,并且能够利用更多的内存列来处理每周期的多个符号,并在状态匹配资源中增加线性增加。 Impala因此引入了三倍的区域,吞吐量和能量效益,以牺牲了增加的离线编译时间。我们对广泛的自动机基准测试的实证评估揭示了Impala平均每单位面积的2.7倍(高达3.7倍)的吞吐量高,功耗低于缓存自动机,这是最好的现有工作。

著录项

相似文献

  • 外文文献
  • 中文文献
  • 专利
获取原文

客服邮箱:kefu@zhangqiaokeyan.com

京公网安备:11010802029741号 ICP备案号:京ICP备15016152号-6 六维联合信息科技 (北京) 有限公司©版权所有
  • 客服微信

  • 服务号