首页> 外文会议>IEEE International Symposium on High Performance Computer Architecture >Data-triggered threads: Eliminating redundant computation
【24h】

Data-triggered threads: Eliminating redundant computation

机译:数据触发线程:消除冗余计算

获取原文

摘要

This paper introduces the concept of data-triggered threads. Unlike threads in parallel programs in conventional programming models, these threads are initiated on a change to a memory location. This enables increased parallelism and the elimination of redundant, unnecessary computation. This paper focuses primarily on the latter. It is shown that 78% of all loads fetch redundant data, leading to a high incidence of redundant computation. By expressing computation through data-triggered threads, that computation is executed once when the data changes, and is skipped whenever the data does not change. The set of C SPEC benchmarks show performance speedup of up to 5.9X, and averaging 46%.
机译:本文介绍了数据触发线程的概念。与传统编程模型中的并行程序中的线程不同,这些线程被启动到存储器位置的更改。这使得能够增加并行性和消除冗余,不必要的计算。本文主要侧重于后者。结果表明,78%的所有负载获取冗余数据,导致冗余计算的高发生率。通过通过数据触发的线程表示计算,当数据发生变化时,该计算执行一次,并且只要数据不改变,就会跳过。该集合C规格基准显示性能高速高达5.9倍,平均为46%。

著录项

相似文献

  • 外文文献
  • 中文文献
  • 专利
获取原文

客服邮箱:kefu@zhangqiaokeyan.com

京公网安备:11010802029741号 ICP备案号:京ICP备15016152号-6 六维联合信息科技 (北京) 有限公司©版权所有
  • 客服微信

  • 服务号