首页> 外文会议> >Design and Realization of NCO of Modulation Based on FPGA
【24h】

Design and Realization of NCO of Modulation Based on FPGA

机译:基于FPGA的调制NCO的设计与实现

获取原文

摘要

In this paper I bring forward a way to design numeric control oscillator (NCO)that can be used in amplitude modulation, frequency modulation, phase modulation and digital up converter(DUC). Emphatically, this paper analyses characteristics of frequency control word (FCW) and propose solution of different application.Finally a FPGA implementation is presented.
机译:在本文中,我提出了一种设计可用于幅度调制,频率调制,相位调制和数字上变频器(DUC)的数控振荡器(NCO)的方法。本文着重分析了频率控制字(FCW)的特点,提出了不同应用的解决方案。最后,提出了一种FPGA实现方案。

著录项

  • 来源
    《》|2007年||共3页
  • 会议地点
  • 作者

    HeJin; He SongBai;

  • 作者单位
  • 会议组织
  • 原文格式 PDF
  • 正文语种
  • 中图分类
  • 关键词

相似文献

  • 外文文献
  • 中文文献
  • 专利
获取原文

客服邮箱:kefu@zhangqiaokeyan.com

京公网安备:11010802029741号 ICP备案号:京ICP备15016152号-6 六维联合信息科技 (北京) 有限公司©版权所有
  • 客服微信

  • 服务号